Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16617 Discussions

Issue with Quartus Prime PRO for Stratix 10 when using a string type in a VHDL project.

JDlug
Beginner
2,581 Views

Hello,

 

When using "Quartus Prime Pro 20.1" software with "Stratix 10" chip, I have a problem with VHDL string type in my projects. At the same time, I don't have this problem when using "Quartus Prime Standard Edition 19.1" with "MAX 10" FPGA. For example, the problem occurs when I compile the following simple VHDL code:

 

 

 LIBRARY ieee;

 USE ieee.std_logic_1164.all;

 ENTITY e1 IS

  PORT 

  (

   s_out: OUT string(1 to 2)

  );

 END e1;

 ARCHITECTURE arch1 OF e1 IS

 BEGIN

  s_out <= "ab";

 END arch1;

 

 

The compilation process stop suddenly and the error popup window appears with the message: "Sorry! The Quartus Prime software quit unexpectedly". Here is a preview of the report:

 

………………………………………………………………

Problem Details

Error:

Internal Error: Sub-system: QIS, File: /quartus/synth/qis/qis_name_processor.cpp, Line: 779

\s_out[1].'|' is not a valid node name

Stack Trace:

 Quartus   0xa4d85: QIS_NAME_PROCESSOR_IMPL::create_names + 0x885 (synth_qis)

 Quartus   0x3834b: QIS_RTL_STAGE::IMPL::create_instance_name_for_node + 0x8b (synth_qis)

 Quartus   0x38b0d: QIS_RTL_STAGE::IMPL::create_names_for_all_nodes_post_extraction + 0x2dd (synth_qis)

 Quartus   0x3e9ab: QIS_RTL_STAGE::IMPL::post_process_elaborated_entity + 0xc6b (synth_qis)

 Quartus   0x3a631: QIS_RTL_STAGE::IMPL::elaborate + 0x16d1 (synth_qis)

 Quartus   0x18e2e: qis_elaborate + 0x26e (synth_qis)

 Quartus   0x16442: TclNRRunCallbacks + 0x62 (tcl86)

 Quartus   0x17c4d: TclEvalEx + 0x9ed (tcl86)

 Quartus   0xa6a8b: Tcl_FSEvalFileEx + 0x22b (tcl86)

 Quartus   0xa5136: Tcl_EvalFile + 0x36 (tcl86)

 Quartus   0x156f6: qexe_evaluate_tcl_script + 0x4e6 (comp_qexe)

 Quartus   0x145e3: qexe_do_tcl + 0x4b3 (comp_qexe)

 Quartus   0x1acae: qexe_run_tcl_option + 0x5ee (comp_qexe)

 Quartus   0x18c31: QCU::DETAIL::intialise_qhd_and_run_qexe + 0xa1 (comp_qcu)

 Quartus   0x2b0e2: qcu_run_tcl_option + 0x2f2 (comp_qcu)

 Quartus   0x13cc: qsyn2_tcl_process_default_flow_option + 0x1dc (quartus_syn)

 Quartus   0x1a5b0: qexe_run + 0x460 (comp_qexe)

 Quartus   0x1b6ea: qexe_standard_main + 0x26a (comp_qexe)

 Quartus   0x3039: qsyn2_main + 0x129 (quartus_syn)

 Quartus   0x158d8: msg_main_thread + 0x18 (CCL_MSG)

 Quartus   0x16f81: msg_thread_wrapper + 0x71 (CCL_MSG)

 Quartus   0x21040: mem_thread_wrapper + 0x70 (ccl_mem)

 Quartus   0x14e5d: msg_exe_main + 0x20d (CCL_MSG)

 Quartus   0x4924: __scrt_common_main_seh + 0x11c (quartus_syn)

 Quartus   0x17bd3: BaseThreadInitThunk + 0x13 (KERNEL32)

 Quartus   0x6ce70: RtlUserThreadStart + 0x20 (ntdll)

 

End-trace

 

 

Executable: quartus_syn

Comment:

None

 

System Information

Platform: windows64

OS name: Windows 10

OS version: 10.0

 

Quartus Prime Information

Address bits: 64

Version: 20.1.0

Build: 177

Edition: Pro Edition

………………………………………………………………

 

 

How can I solve this problem?

Thank you in advance for any help.

 

(jd)

 

0 Kudos
24 Replies
JDlug
Beginner
408 Views

Hi KhaiY,

I'm sorry I didn't answer. I usually received emails with every new forum post about my topic. I haven't received any email right now, so I didn't know you sent new messages.

I really appreciate that Intel will fix the problem. Do you have any information when this could happen?

Thank you,

(jd)

 

0 Kudos
KhaiChein_Y_Intel
382 Views

Hi JD,


The team did not share the exact version but this is in the preliminary plan of the future release.


Thanks.

Best regards,

KhaiY


0 Kudos
JDlug
Beginner
375 Views

KhaiY,

 

Thank you very much for the information.

 

(jd)

 

0 Kudos
KhaiChein_Y_Intel
373 Views

Hi JD,

 

No problem.

 

Best regards,

KhaiY

0 Kudos
Reply