Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16636 Discussions

QIP file as source to quartus_map command

Altera_Forum
Honored Contributor II
1,187 Views

Hi, 

 

I am trying to synthesize a design using quartus_map executable in command-line mode. --source option seems to be working for RTL files but not for qip files.  

How do I specify a qip file as input source to quartus_map command. 

 

Regards
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
350 Views

You should have a .qsf, so can you just put it in there? You always need a .qsf since the number of things in it quickly dwarf the ability to put everything into the actual command line options, such as pin locations and IO standards. 

I run the following everyday for multiple project: 

quartus_sh --flow compile --64bit <project_name> -c <revision_name> 

(The <project_name> is just the name of your .qpf without the extension, and revision_name is the name of your .qsf with the extension. The -c <revision_name> is only necessary if it's different than the project_name.) 

If you really need to change a lot of options at the beginning of the compile, I would recommend scripting it, either with a pre-flow script or something else, but for the most part I don't believe they're overly necessary.
0 Kudos
Altera_Forum
Honored Contributor II
350 Views

It worked for me. Thanks.

0 Kudos
Reply