Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16650 Discussions

Quartus hung after synthesis, license issue?

Altera_Forum
Honored Contributor II
1,306 Views

We have a license server installed in a linux box with six licenses. Three members of my team access it by running quartus on their local boxes, by putting in the correct options in quartus. 

 

Quite often, we have seen Quartus 8.0 sp1 hung after "Analysis & Synthesis" or "Fitter". e.g just now I ran Analysis & Synthesis on the megacore ddr2 controller design targeted for stratix II and I see the final message  

 

Info: Quartus II Analysis & Synthesis was successful. 0 errors, 18 warnings 

Info: Processing ended: Wed Nov 19 14:55:18 2008 

Info: Elapsed time: 00:00:36 

Info: Total CPU time (on all processors): 00:00:3 

 

However, the progress bar is still 92% and is stuck. Even clicking on stop button, does not release quartus from this step and I had to use the kill command. 

 

I have added wait for license in the file  

~/.altera.quartus/quartus2.ini 

.... 

[General 8.0] 

LICENSE_FILE = 1700@192.168.1.11 

WAIT_FOR_LICENSE = on 

... 

 

Pleae share any debug info that might help in figuring out the source.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
553 Views

This is most likely the quartus talk back feature. I had the same issue. 

 

To get around it, I made a backup of the talkback tcl script, and then deleted everything in the original. I don't know if this has any adverse effects, but has been working for me.
0 Kudos
Altera_Forum
Honored Contributor II
554 Views

may I know where I can find the talkback script? 

Thanks
0 Kudos
Reply