Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16614 Discussions

Timing Simulation Quartus9.1sp1

Altera_Forum
Honored Contributor II
1,281 Views

Hallo all there, 

 

i have a question concerning the Timing Simulation in Quartus. 

 

In Quartus, via Assignements > settings > EDA Tool Settings > simulation  

i chose ModelSim as the tool, output format vhdl and an output folder. 

 

our project is normally not started directly in the GUI, but in a shell (we use linux) with a ruby script. I want to ask if anybody know where do i have to put this setting (is it already in the .qsf of the Quartus project or do i have to set up a command in the ruby file?) and how does this command look like? 

 

if my question is not clear enough, please say then i try to explain it in a better way 

 

thanks
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
341 Views

everything in Assignments > Settings should be the qsf. the simulation stuff is there, open it up and look for assignments that start with EDA. for example: 

 

set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
0 Kudos
Altera_Forum
Honored Contributor II
341 Views

thanks, 

i will try :-)
0 Kudos
Altera_Forum
Honored Contributor II
341 Views

it works, thanks 

 

do you have experience with the simulation settings in quartus? 

is it possible that there is a tb generated? with the stimi which are needed? 

 

regards
0 Kudos
Altera_Forum
Honored Contributor II
341 Views

Altera IP including SOPC Builder auto-generates a test bench, otherwise you'll have to write it yourself.

0 Kudos
Altera_Forum
Honored Contributor II
341 Views

ok, 

so for a big project (including a NIOS Processor, and many IP Cores) it is not possible, i can only let create a TB-File for my Top Level File which instantiates the Top as a Device Under Test, the rest should be written by myself. 

 

thanks a lot for your help
0 Kudos
Reply