Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

NIOS Custom peripherals

Altera_Forum
Honored Contributor II
1,468 Views

Folks, 

I got a simple PIO avalon peripheral set up and working in no time. Now I'm stuck on the next step, having an avalon peripheral that has connections inside the same design that the NIOS is in. For instance. I have a cyclone design with its top-level VHDL file containing a few components. One of these components is a NIOS-16. The NIOS has a custom peripheral that has signals that also connect to one of the components at the top-level of the design. If I instantiate the custom peripheral in the top-level VHDL file, the avalon connections go unconnected and give me an error. The SOPC builder accepted the custom peripheral no sweat. What is the correct strategy for this? 

 

If you quote any docs, please name the doc and the page number.  

 

Thanks
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
766 Views

Folks, 

I discovered the answer in AN-333 page 13. To make your custom peripheral a stand-alone, just dont import the design files. When the NIOS is built, it will define new (very verbose) signal names as I/O of the NIOS component. Works great.
0 Kudos
Reply