Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20736 Discussions

DE2-PC communication

Altera_Forum
Honored Contributor II
1,303 Views

Hi All 

 

I am doing some project using Altera's DE2 board, In which I need to load on board RAM (SRAM) from PC. 

I am planing to use USB for that but I dont know to start with it. 

 

there are two USB ports left for programmer USB Device and USB Host whats the difference and which one is better? 

 

How to start working with USB, I am not using SOPC builder or NIOS Processor, My design is a seperate VHDL code. Do I need to write hardware/Drivers for USB or they are readily available? 

 

If there are other ways to load SRAM from PC please suggest me 

 

I am totally confuse how to proceed in this direction can some one please help me? 

 

Thanks 

Kuldeep
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
578 Views

VHDL code or AHDL verilog or bdf are translated into sof/pof files to program the device (on Quartus software) .The configuration data is transferred from the 

host computer (which runs the Quartus II software) to the board by means of a cable that connects a USB port on the host computer to the leftmost USB connector on the board. To use this connection, it is necessary to have the USB-Blaster driver installed. Or look for  

Altera’s DE2 Board for information about installing the driver. Before using the board, make sure that the USB cable is properly connected and turn on the power supply switch on the board. 

Thetre two possible ways of programming In the JTAG mode and Active Serial (AS) mode.
0 Kudos
Altera_Forum
Honored Contributor II
578 Views

Hi muclear, 

 

Thanks for your reply, I think I was not clear in my questions sorry for that. 

 

I am able make connection with FPGA via JTAG and also able to burn my code on it but I want to transfer data files into on-board SRAM some how (either using USB connections Host/Device or some other way)
0 Kudos
Altera_Forum
Honored Contributor II
578 Views

JTAG UART is a possible method involving not too much software effort . It has been recently discussed at the forum.

0 Kudos
Altera_Forum
Honored Contributor II
578 Views

 

--- Quote Start ---  

Hi muclear, 

 

Thanks for your reply, I think I was not clear in my questions sorry for that. 

 

I am able make connection with FPGA via JTAG and also able to burn my code on it but I want to transfer data files into on-board SRAM some how (either using USB connections Host/Device or some other way) 

--- Quote End ---  

 

 

You could use system console (http://www.nioswiki.com/index.php?title=systemconsole) to read a file, on your PC, and then write it into your SRAM. 

 

It would require some additional logic, but so would anything else you try. 

 

Cheers, 

 

- Ura
0 Kudos
Altera_Forum
Honored Contributor II
578 Views

 

--- Quote Start ---  

Hi All 

 

I am doing some project using Altera's DE2 board, In which I need to load on board RAM (SRAM) from PC. 

I am planing to use USB for that but I dont know to start with it. 

 

there are two USB ports left for programmer USB Device and USB Host whats the difference and which one is better? 

 

How to start working with USB, I am not using SOPC builder or NIOS Processor, My design is a seperate VHDL code. Do I need to write hardware/Drivers for USB or they are readily available? 

 

If there are other ways to load SRAM from PC please suggest me 

 

I am totally confuse how to proceed in this direction can some one please help me? 

 

Thanks 

Kuldeep 

--- Quote End ---  

 

 

You can do that by making signals in architecture body of HDL code.Or you can create instructions in HDL code with opcodes and then create PROCESS with programm. The only way to control flow of software data from alteras software is to do that by means of Nios processor on FPGA (C ++ from NIOS IDE).Software and hardware (Altera Xilinx) vendors dont provide any alternative because they should give protected ( secret) information about flow of program code in FPGA (coding decoding of data).
0 Kudos
Altera_Forum
Honored Contributor II
578 Views

hello goswamikildeep ,  

have you transferred data to SRAM? if yes, how you have done that using usb port or something else? 

if usb port can you please explain me the way that u have done that.  

thanks in advance.
0 Kudos
Reply