Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20718 Discussions

Reading checksum of the rpd with FPGA

Jcole
New Contributor I
731 Views

Would there be a method for the FPGA to verify the checksum of a .rpd file it receives serially?

I'm passing a rpd file to my FPGA to write into Flash and I want to make sure that the data is properly received. I've read where others have used tcl scripts and/or Quartus to read the checksum but didn't know if there was a particular area or the file itself that contained the information that maybe the FPGA could use to verify the file. 

Labels (1)
0 Kudos
6 Replies
NurAiman_M_Intel
Employee
698 Views

Hi,


RPD is a bitstream which you can use write your own script to program into the flash. You cannot use Quartus Programmer to program RPD into flash. To validate the bistream, you can compare the data with the .map file. .map file can be generated during RPD file generating.


Regards,

Aiman


0 Kudos
Jcole
New Contributor I
665 Views

Hi, Thank you for responding, but that's not quite what I'm asking. I wanted to know if there was a checksum associated with the rpd file. I'm serially passing my FPGA the hex translation of a .rpd file from my connected processor. The FPGA will then write the received rpd file to the flash memory. I just want to know if there is a method for the FPGA to check that the file was received from the processor correctly such as the checksum. 

0 Kudos
FvM
Valued Contributor III
649 Views
Why not add a e.g. crc32 to the file?
0 Kudos
NurAiman_M_Intel
Employee
556 Views

Hi,


We do not have that method.


To answer your question, if file was NOT received from the processor correctly, quartus programmer will not be 100% successful as you can see in the link below, page 6:

https://www.intel.com/content/dam/support/us/en/programmable/support-resources/fpga-wiki/asset03/tutorial-write-raw-programming-data-rpd-into-flash-devices.pdf


Hope this answer your questions.


Regards,

Aiman


0 Kudos
NurAiman_M_Intel
Employee
371 Views

Hi,


Any further information needed for this case? Else, I will set this case to close for now.


Regards,

Aiman


NurAiman_M_Intel
Employee
158 Views

We do not receive any response from you to the previous answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
Reply