Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20759 Discussions

Timequest report slack negative on recovery

Altera_Forum
Honored Contributor II
914 Views

QII shows these warning: 

 

Warning: Ignored assignment: set_false_path -from [get_keepers {*rdptr_g*}] -to [get_clocks {*ws_dgrp|dffpipe_gd9:dffpipe9|dffe10a*}] 

 

Warning: At least one of the filters had some problems and could not be matched 

 

Warning: *rs_dgwp|dffpipe_fd9:dffpipe6|dffe7a* could not be matched with a clock or keeper or register or port or pin or cell or partition 

 

Is these warning cause the recovery timing fail? The launch clock is constrainted with 25MHZ and the latch clock is constrainted with 165Mhz. Is this possible? Any others possibility that cause the recovery timing fail? Your helps is much appreciated. Many thanks....
0 Kudos
0 Replies
Reply