Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20809 Discussions

Timing constraints for output clock pin

Altera_Forum
Honored Contributor II
1,392 Views

I'm constraining a synchronous interface to a USB chip, and I've got all the timing constraints in for the data and control lines. Timequest is still listing the output clock itself as unconstrained. Do I need to constrain this? Ultimately as long as all the other constraints are met, I don't really care how much delay is present between the PLL clock output and the clock output pin. Should I set a false path to the output clock pin, or is there some other recommended thing to do? 

 

Regards, 

David
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
364 Views

as you have realised clk output does not need constraints by itself. to keep timequest happy set false path: 

 

set_false_path -to [get_ports {clkout}] 

 

exception to that if you need to treat it as any nonclk output
0 Kudos
Altera_Forum
Honored Contributor II
364 Views

Thanks kaz!

0 Kudos
Reply