Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20794 Discussions

Waveform simulation no results!

Altera_Forum
Honored Contributor II
943 Views

VHDL code is not wrong ,but when i create do not get the results of simulation waveform file.somewhere i malke a mistake !! can you help me ? 

library ieee; 

use ieee.std_logic_1164.all; 

entity counter10 is  

port (clk: in std_logic;  

digit : out std_logic ); 

end counter10 ; 

architecture counter10 of counter10 is  

begin  

process (clk) 

variable temp:integer range 0 to 10; 

begin  

if(clk'EVENT AND clk='1')then  

temp:= temp+1; 

if (temp=10)then temp:=0; 

end if ; 

end if ; 

end process; 

end counter10 ; 

 

 

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
241 Views

Do you assign any value to the output port digit?

0 Kudos
Altera_Forum
Honored Contributor II
241 Views

no , i thinlk it's output port .need assign value ?o i try it again . than you !

0 Kudos
Reply