Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20736 Discussions

When to use "set_clock_groups -exclusive"?

Altera_Forum
Honored Contributor II
1,134 Views

On my quest to learn timing constraints I stumbled on "set_clock_groups -exclusive" in the http://www.altera.com/support/examples/download/niosii-triple-speed-ethernet-3c120-qsys.zip example. According to the SDC and TimeQuest API Package and Commands reference manual (http://www.altera.com/literature/manual/mnl_sdctmq.pdf) this command tells the timing analyzer which clocks are unrelated to each other. The "exclusive" options furthermore seems to tell the timing analyzer that the clocks are not active at the same time. 

 

What clocks are not active at the same time: Those clocks grouped in one group? 

 

So does "-exclusive" mean that only one clock of the clocks in each clock group can be active at the same time and that the clocks between the clock groups should be treated as asynchronous? 

 

Example: 

set_clock_groups  

-exclusive  

-group {clk_a clk_b}  

-group {clk_c clk_d} 

Does it mean that: 

- Either clk_a or clk_b and either clk_c or clk_d can be active at the same time? 

- The clocks between the groups are asynchronous clk_a and clk_b are asynchronous to clk_c and clk_d? 

This would not make much sense if only one clock in each group can be active at one time: 

- The clocks within the groups are asynchronous: clk_a is asynchronous to clk_b and clk_c is asynchronous to clk_d?  

 

 

Regards 

Martin
0 Replies
Reply