- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
sir my following code gives null output:
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity tskw is Port ( reset : in STD_LOGIC; p : in STD_LOGIC_vector(2 downto 0); q : out STD_LOGIC_vector(2 downto 0)); end tskw; architecture Behavioral of tskw is signal k: STD_LOGIC_vector(2 downto 0); begin process (reset) begin if (reset ='1') then k <=p; q<=k; elsif (reset ='0') then q<="000"; end if; end process; end Behavioral; but during synthesis,there is no error. plz help.:cry:Link Copied
2 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Where do you see no output? For a behavioral simulation p is missing in the sensitivity list.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
--- Quote Start --- Where do you see no output? For a behavioral simulation p is missing in the sensitivity list. --- Quote End --- So is K. All this circuit does is connect q to p when reset = '1'
Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page