Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20713 Discussions

Using GCLK by a Quartz Crystal

Altera_Forum
Honored Contributor II
1,200 Views

Hi all, 

 

Can I use a quartz crystal to drive the GCLK pin of FPGAs? I mean just like the microcontroller XTAL pin which needs a quartz crystal with 2 capacitors? 

 

 

Thanks in advance.
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
314 Views

No, you need a crystal oscillator or an unbuffered CMOS inverter to build an oscillator.

0 Kudos
Altera_Forum
Honored Contributor II
314 Views

thnx man for ur fast answer ;)

0 Kudos
Altera_Forum
Honored Contributor II
314 Views

That's an interesting question, though! Has anybody here ever tried to use the FPGA itself to build a crystal oscillator? It's quite easy to have a simple inverter from on pin to another. So after configuration, the FPGA should oscillate with the crystal. Any experience with that?

0 Kudos
Altera_Forum
Honored Contributor II
314 Views

There have been some discussions of this on the comp.arch.fpga newsgroup. It isn't at all reliable. 

 

Leon
0 Kudos
Reply