Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20725 Discussions

can't create sof file

Altera_Forum
Honored Contributor II
1,872 Views

I use the cyclone4GX FPGA for my new pcie x4 design.but when compiled,I found that there is no sof file created.I have been puzzled for two days.who can help me?

0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
581 Views

Do you have any warning or error message in the log related to the sof file?

0 Kudos
Altera_Forum
Honored Contributor II
581 Views

which version of QuartusII do you use? 

if QII says device is advanced. 

 

you can virtually compile the device. 

but not assign pins by fitter process. 

 

you can make sure that by assignment editor device setting. 

 

good luck
0 Kudos
Altera_Forum
Honored Contributor II
581 Views

Hi, 

 

I had the exact same problem. I used Quartus II 10.0 without servicepack 1. I had to install the servicepack and it works. It seems that the Civ is not fully supplied without it.
0 Kudos
Altera_Forum
Honored Contributor II
581 Views

i use version 10.0,when i use the cyclone4GX15 for pciex1,i found it is ok,when i use cyclone4GX150 for pciex4,i found that there is no sof file

0 Kudos
Altera_Forum
Honored Contributor II
581 Views

Hi again, 

 

like I said, get the update. I had the same problem. At first I used the DB4CX15 development board without the servicepack and had no problems. When I wanted to use the EP4CGX150 in 484package for my own board I got no sof file. So this one is not fully supported without the sp1. 

 

https://www.altera.com/download/software/quartus-ii-se
0 Kudos
Altera_Forum
Honored Contributor II
581 Views

Jacol: 

thank you very much ,i will download the updata and try it again.
0 Kudos
Altera_Forum
Honored Contributor II
581 Views

I am so unlucky,when I update the quartus2 10.0 to sp1,and downlaod a patch,I still cannot generate the sof file .the fpga is EP4CGX150F23C8N.who can help me?

0 Kudos
Altera_Forum
Honored Contributor II
581 Views

Hi again, 

 

are you sure you have no license problem? If you don´t have the excepted license you won´t get a sof file!
0 Kudos
Altera_Forum
Honored Contributor II
581 Views

Does the assembler run when you compile the project? What does it say in the processing log?

0 Kudos
Reply