Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20707 Discussions

DDR2 SDRAM High Performance Controller - Parallel- and Serial-termination????????????

Altera_Forum
Honored Contributor II
911 Views

Well, I build my System via the SOPC-Builder. 

 

Now I want to to the pinning at quartus. My Problem ist, that I got 2 signals where I dont have any idea what I should do with them. 

There names: 

oct_ctl_rs_value 

oct_ctl_rt_value 

 

The Manual tells me this: 

ALTMEMPHY signal that specifies the serial termination value. Should 

be connected to the ALT_OCT megafunction output 

“Seriesterminationcontrol(oct_ctl_rs_value) 

and 

ALTMEMPHY signal that specifies the parallel termination value. 

Should be connected to the ALT_OCT megafunction output 

“Parallelterminationcontrol(oct_ctl_rt_value). What I have to do? I dont have any glue what these altera guys want from me.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
203 Views

Well, ok as far as I found out I have to use a Altera Megafunction called Alt Oct. Its used for termination(calibration). Its needed for Stratix III Boards. There is no intern solution so u must use this Extra-"Feature". 

Well, I just working arround to get it work. ATM I just want to know how I should connect this megafunction to pins. Manual is a bit crap. At least for the pinning. 

 

http://www.altera.com/literature/ug/alt_oct.pdf
0 Kudos
Altera_Forum
Honored Contributor II
203 Views

http://www.altera.com/literature/an/an435.pdf 

 

http://www.altera.com/literature/an/an465.pdf 

 

Those manuals are helping too. 

 

My only question atm is now: How to hell can I connect rup and rdn correctly to over the 50(25) ohms resistors to gnd/vcc? I got more than 1 I/O Bank. Those altera guys are a bit crazy... just use our tcl scipts... u dont need to have a glue how u make it by your owen.
0 Kudos
Reply