Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

ModelSim 6.5b mismatch name & waveforms

Altera_Forum
Honored Contributor II
1,270 Views

I recently upgrade to Quartus II 9.1 and ModelSim 6.5b subscription editions. 

 

After running a sim in ModelSim, the internal net names will not always match up with the waveform display in the "Wave" window. 

 

After hitting F5 a few times and moving the vertical scroll bar, I can sometimes get them to line up again, but it's a pain. 

 

I experienced the same problem in ModelSim 6.5b Starter Edition. 

 

Anyone else having this problem? I did not experience this problem before the upgrade.
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
574 Views

I have the same problem.

0 Kudos
Altera_Forum
Honored Contributor II
574 Views

I have the same problem. Only one of the 3 vertical panes of wave updates when you do somethink.  

Menu View refresh display works but it very annoing. 

Using XP pro 32 bit
0 Kudos
Altera_Forum
Honored Contributor II
574 Views

can you provide step by step instructions so i can reproduce it and file a bug report?

0 Kudos
Altera_Forum
Honored Contributor II
574 Views

Hi Pancake 

 

Yes finally I can, and better I can add a workaround for the problem, I found this morning. 

The problem never shows in my first simulation, but appears when I change a source file and rerun it. 

I do not define projects in modelsim. 

I just use the cd command to go to the proj dir. 

Then I use a run.do command file (executed with do run.do) The run.do could be like this 

# End present simulation 

quit -sim 

vcom myfile1.vhd 

vcom myfile2.vhd 

vsim -t 1ps work.tb_sram_x16 

do wave.do 

run 250 ns 

 

The wave.do file holds my stored wave setup. 

 

The workaround is to close the wave window between each run. 

 

This can be done by adding  

noview wave 

after  

quit -sim  

in the run.do file.
0 Kudos
Altera_Forum
Honored Contributor II
574 Views

I had same prob.... Thank you for the solution !

0 Kudos
Reply