Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20707 Discussions

Integration of a Noc in NIOS system

Altera_Forum
Honored Contributor II
1,105 Views

Hello, 

 

i try to bulid a multiprocessor Nios system but i want to connect the processors to a NoC IP which requires data, adresses, and some other signals. 

My problem is if I must use the avalon interface to connect this IP with the NIOS processor? and how? 

Can I connect my NoC IP directly to the NIOS? 

 

Is there someone who has tried to integrated a NoC in a NIOS embedded system. 

 

Please help me... 

 

Mouna.
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
371 Views

A few questions on naming things first. 

 

When you say 'Nios system', do you really mean a SoPC system with a NoC processor added into to that system? 

 

Or do you mean a SoPC system with a NIOS II processor AND ALSO a NoC processor interface both onto the Avalon-MM interface? 

 

It does not really matter which you are attempting to do, I am just asking so thatI better understand the structure of the system that youare looking to build. 

 

In either case, you have two options: 

 

1. To integrate the NoC processor into the SoPC builder system as a new component. 

2. To provide an interface from the SoPC system to an external component (the NoC processor) outside the SoPC sub-system. 

 

Do you desire to allow the NoC proessor to be able to MASTER the SoPC bus? 

Or simple be a Slave to the other Masters on the SoPC system? 

 

For 1. - you will use the Componenet Editor to integrate your IP into a new component that will be available to attach to the SoPC (Avalon-MM) interface. 

 

For 2. - you only need to use the Component Editor to build an interface (sort of a reverse bridge) into the Avalon-MM interface by NOT including ANY IP and just ampping the signals appropriately. 

 

You have some reading to do. 

 

Keep us posted.
0 Kudos
Altera_Forum
Honored Contributor II
371 Views

My goal is to bulid a NIOS multiprocessor system for example a system containing 3 NIOS processors. And I have a NoC IP written in vhdl that i want to connect with these 3 processors since it requires data and adresses from every processor.  

 

My problem is how to make this connection between my IP (which functions as a slave) and any processor via the avalon bus. 

 

I am a beginner in using the NIOS and SOPC so what tutorials should I read to guide me how to make things?? 

 

Thank u for the help,
0 Kudos
Altera_Forum
Honored Contributor II
371 Views

go here: 

http://www.altera.com/education/training/curriculum/embedded_hw/trn-embedded_hw.html 

 

Training on the Altera WEB site. 

Right hand side: Using SOPC Builder (OEMB1115) 

Start here to learn about how to wrap your IP to be an SoPC Component. 

The materials below that are all interesting as well. 

Customer Instruction contains a similar flow to what you are looking to do as well (as relates to how to wrap your IP - not to make it a Custom Instruction). 

 

Keep us posted.
0 Kudos
Altera_Forum
Honored Contributor II
371 Views

Thanks for the help, 

 

I'll try to learn more from this tutorial, may it help me.
0 Kudos
Reply