FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

Errors when fitting qdr2 controller magacore into Stratixiv

Altera_Forum
Honored Contributor II
927 Views

Hi, I have problems when compiling my design with the qdr2 controller magacore.  

The errors are related to the OCT calibration block and the pin assignments, such as:  

 

Error (169168): Can't place qdr2top0_bwsn[0] with OCT calibration block XXX...XXX|altera_mem_if_oct_stratixiv:oct0|sd1a_0 in I/O Bank 8B because it already has pins driven by OCT calibration block termination_blk0 

 

Error (169168): Can't place qdr2top0_bwsn[1] with OCT calibration block XXX...XXX|altera_mem_if_oct_stratixiv:oct0|sd1a_0 in I/O Bank 8B because it already has pins driven by OCT calibration block termination_blk0 

 

Error (169168): Can't place qdr2top0_d[0] with OCT calibration block XXX...XXX|altera_mem_if_oct_stratixiv:oct0|sd1a_0 in I/O Bank 8B because it already has pins driven by OCT calibration block termination_blk0 

 

... ... 

 

 

Thanks!
0 Kudos
0 Replies
Reply