FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6370 Discussions

Platform Designer fails QDR II elaboration revisited

K_Crocker
Novice
716 Views

When elaborating a QDR instance within Platform Designer (QSys), the elaboration fails when running a makefile to create a target called "setup". The error itself is "Cannot find sequencer/sequencer.elf".

I'm running Quartus 23.1std, WSL 1 with Ubuntu 18.04 LTS and Eclipse Mars 2 according to the instructions that came with 23.1std for running the NIOS II IDE.

I posted this problem before but marked it "SOLVED" when I found I could work around the problem by performing a system generation on a machine without WSL running Quartus 18.1.0. I really want to migrate to 23.1std and WSL and away from 18.1.0 and CygWin64 so I am reopening the case. My original post has the same title as this one, without the word "revisited".

I believe that this issue exists for DDR instantiations as well, or any RAM instances with a sequencer code in "C:/intelFPGA/23.1std/ip/altera/alt_mem_if".

Can someone tell me where the temporary directory is that contains the Makefile and other temporary files such as "stderr.txt"?

Any help, hints, or tips would be welcome and encouraged! Thank you!

Here's an excerpt from the generation window:

Info: p0: "qdrii_0" instantiated altera_mem_if_qdrii_phy_core "p0"
Info: m0: "qdrii_0" instantiated altera_mem_if_qdrii_afi_mux "m0"
Error: s0: Error during execution of "{C:/intelfpga/23.1std/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally
Error: s0: Execution of command "{C:/intelfpga/23.1std/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed
Error: s0: /mnt/c/intelfpga/23.1std/quartus/bin64/uniphy_mcc.exe -ac_code sequencer_mc/ac_rom.s -inst_code sequencer_mc/inst_rom.s -ac_rom ../qsys_fabric_qdrii_0_s0_AC_ROM.hex -inst_rom ../qsys_fabric_qdrii_0_s0_inst_ROM.hex -header sequencer/sequencer_auto.h -vheader ../sequencer_auto_h.sv -ac_rom_init sequencer/sequencer_auto_ac_init.c -inst_rom_init sequencer/sequencer_auto_inst_init.c -DQUARTER_RATE=0 -DHALF_RATE=1 -DFULL_RATE=0 -DNON_DES_CAL=0 -DGUARANTEED_READ_BRINGUP_TEST=0 -DMEM_ADDR_WIDTH=22 -DHARD_PHY=0
Error: s0: UniPHY Sequencer Microcode Compiler
Error: s0: Copyright (C) 2023 Intel Corporation. All rights reserved.
Error: s0: Info: Reading sequencer_mc/ac_rom.s ...
Error: s0: Info: Reading sequencer_mc/inst_rom.s ...
Error: s0: Info: Writing ../qsys_fabric_qdrii_0_s0_AC_ROM.hex ...
Error: s0: Info: Writing ../qsys_fabric_qdrii_0_s0_inst_ROM.hex ...
Error: s0: Info: Writing sequencer/sequencer_auto_ac_init.c ...
Error: s0: Info: Writing sequencer/sequencer_auto_inst_init.c ...
Error: s0: Info: Writing sequencer/sequencer_auto.h ...
Error: s0: Info: Writing sequencer/sequencer_auto.h ...
Error: s0: Info: Writing ../sequencer_auto_h.sv ...
Error: s0: Info: Microcode compilation successful
Error: s0: /mnt/c/intelfpga/23.1std/quartus/../nios2eds/sdk2/bin/nios2-bsp hal sequencer_bsp .. --default_sections_mapping sequencer_mem --use_bootloader DONT_CHANGE
Error: s0: nios2-bsp: Using /mnt/c/intelfpga/23.1std/nios2eds/sdk2/bin/bsp-set-defaults.tcl to set system-dependent settings.
Error: s0: nios2-bsp: Updating existing BSP because sequencer_bsp/settings.bsp exists.
Error: s0: Makefile:18: recipe for target 'setup' failed
Error: s0: child process exited abnormally
Error: s0: Cannot find sequencer/sequencer.elf
Error: s0: An error occurred
while executing
"error "An error occurred""
(procedure "_error" line
invoked from within
"_error "Cannot find $seq_file""
("if" then script line 2)
invoked from within
"if {[file exists $seq_file] == 0} {
_error "Cannot find $seq_file"
}"
(procedure "alt_mem_if::util::seq_mem_size::get_max_memory_usage" line 14)
invoked from within
"alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf""
invoked from within
"set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf"]]"
("if" then script line 2)
invoked from within
"if { !$do_only_rw_mgr_mc && !($bfm_mode || $hps_mode)} {
set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequenc..."
(procedure "generate_qsys_sequencer_sw" line 943)
invoked from within
"generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name $ac_rom_init_file_name ..."
invoked from within
"set seq_mem_size_list [generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name ..."
("if" else script line 2)
invoked from within
"if {[::alt_mem_if::util::qini::qini_value alt_mem_if_seq_size_request 0] > 0} {
set seq_mem_size [::alt_mem_if::util::qini::qini_value alt_mem_if_se..."
(procedure "alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer" line 238)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}"
invoked from within
"set qsys_sequencer_files_list [alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}]"
(procedure "alt_mem_if::gen::uniphy_gen::generate_sequencer_files" line 3)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "QDRII" $tmpdir QUARTUS_SYNTH"
invoked from within
"foreach generated_file [alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "QDRII" $tmpdir QUARTUS_SYNTH] {
set file_name [file tail $gener..."
(procedure "generate_synth" line
invoked from within
"generate_synth qsys_fabric_qdrii_0_s0"
Info: s0: "qdrii_0" instantiated altera_mem_if_qdrii_qseq "s0"
Error: Generation stopped, 449 or more modules remaining
Info: qsys_fabric: Done "qsys_fabric" with 113 modules, 83 files
Error: qsys-generate failed with exit code 1: 23 Errors, 46 Warnings
Info: Finished: Create HDL design files for synthesis

Labels (1)
0 Kudos
6 Replies
TingJiangT_Intel
Employee
664 Views

I found the following solution, maybe you can try and check if the issue solved:

https://www.intel.com/content/www/us/en/support/programmable/articles/000086438.html


0 Kudos
K_Crocker
Novice
650 Views

Thank you for your reply!!

I checked my WSL installation and found that only "amd64" was active. I added "i386" and re-ran the instructions on the following page:

https://www.intel.com/content/www/us/en/support/programmable/articles/000074066.html

I rebooted my machine and attempted to generate my QSys again, which failed with the same error.

Here's another data point, though: I just acquired a new Windows 11 Pro machine, so yesterday I installed 23.1std, WSL 1, Ubuntu 18.04 LTS and followed all the "sudo update" instructions. I attempted a QSys generation and it succeeded! I didn't install Eclipse yet, so we'll see if everything holds. If so, that may be the end of it. I'll post again when I know more.

0 Kudos
WZ2
Employee
556 Views

Hi there,

Glad to know that please let us know if any updates.


0 Kudos
K_Crocker
Novice
553 Views

I don't have further updates. I suppose a relevant test would be to install 23.1std on a fresh Windows 10 installation. That will take time I lack at the moment. Alternatively, I could proceed if I received further insights into the SOPC elaboration process, such as where the scripts are stored.

0 Kudos
TingJiangT_Intel
Employee
526 Views

Thanks for your feedback. Currently Win 11 will be recommended.


0 Kudos
TingJiangT_Intel
Employee
482 Views

If there is no more questions Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


0 Kudos
Reply