FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Quartus-II FIR compiler-II DSP IP Core

Altera_Forum
Honored Contributor II
909 Views

Dear friends , 

 

I am a beginner in Quartus -II tool . I generated an IP core for band pass filter of order 64 from DSP->Filter-> FIR compiler II in Megawizard tool box . The default outputs are simulated in Questasim . When I simulated with my inputs(no. of i/ps :250) ,the output should be 250 as from MATLAB . But in the IP core I'm getting only 245 outputs with first 32 values as delay ... 

 

Any solution ...??
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
220 Views

 

--- Quote Start ---  

Dear friends , 

 

I am a beginner in Quartus -II tool . I generated an IP core for band pass filter of order 64 from DSP->Filter-> FIR compiler II in Megawizard tool box . The default outputs are simulated in Questasim . When I simulated with my inputs(no. of i/ps :250) ,the output should be 250 as from MATLAB . But in the IP core I'm getting only 245 outputs with first 32 values as delay ... 

 

Any solution ...?? 

--- Quote End ---  

 

 

I assume you mean you apply impulse input of value 250 and matlab says your max output should be 250 after initial values. i.e. you are targetting unity gain. The difference of 245 from 250 most likely indicates rounding issues with your coefficients. I don't know about your tool but is likely you have chosen a rounding method different from that of matlab.
0 Kudos
Reply