FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

about error "instantiates undefined entity "dspba_delay_ver"

Altera_Forum
Honored Contributor II
1,636 Views

1.build a mdl file project in simulink use altera dsp builder advanced blockset. 

2.run in the modelsim ,result is ok. 

3.add the qip file to my project in quartus II 15.0 . 

4.when i compile the design ,there are errors like this:Error (12006): Node instance "redist273" instantiates undefined entity "dspba_delay_ver" 

is anyone can help me ,thanks?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
622 Views

this issue is solved by add the dspba_library_ver.sv file to my project: 

M:\altera\15.0\quartus\dspba\Libraries\sv\base\dspba_library_ver.sv
0 Kudos
Reply