FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5930 Discussions

FFT IP option creates .qsys file instead of .qip

Sushmita
Beginner
312 Views

Hi,

I am using quartus 18.1 version. i want to use FFT IP.

When I open the IP from IP catalog, i can see a platform designer option for FFT IP. i want to use the IP inside my design as a .qip file. Is there a option for that?  

Can I use FFT IP inside my RTL as an instance?

Regards

 

0 Kudos
1 Reply
SyafieqS
Moderator
297 Views

Hi Susmita,


You can get the FFT qip after you generate the HDL in PD. Yes, you can use it inside your RTL as instance.


Thanks,

Regards


Reply