FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

Multiple .vqm files have module with the same name, which is causing an error.

MarkZop
Beginner
375 Views

Hello,

I currently am building a design that has multiple .vqm files. When I attempt to synthesize the top level, I receive an error that is ultimately due to 2 .vqms having a module with the same name. As a workaround, I can set the syn_netlist_hierarchy attribute to '1', to flatten the netlist, resulting in unique names, but ideally I'd like to maintain the hierarchy. Is there a better solution? Is there a way I can explicitly define a module's netlist name such that I can ensure they are unique?

 

This is ultimately what I'm seeing.

Verilog HDL warning at vqm_file_a.vqm(319302): overwriting previous definition of fifo_gen_async_0 module File:

Verilog HDL info at vqm_file_b.vqm(9156): previous definition of module fifo_gen_async_0 is here File:

Verilog HDL error at vqm_file_b.vqm(2391): can't find port "rx_fifo_read_count_0"

 

Thanks!

0 Kudos
3 Replies
RichardTanSY_Intel
360 Views

You may checkout this KDB:

KDB Reference: https://www.intel.com.au/content/www/au/en/support/programmable/articles/000084895.html

Try to compile each .vqm file into a separate design library by Specifying a Destination Library Name in the Settings Dialog Box:

1. On the Assignments menu, click Settings.
2. In the Category list, select Files.
3. Select the file in the File Name list.
4. Click Properties.
5. In the File Properties dialog box, select the type of design file from the Type list.
6. Type the library name in the Library field.
7. Click OK.

Document Reference: https://www.intel.com/content/dam/support/us/en/programmable/support-resources/bulk-container/pdfs/literature/hb/qts/qts-qii51008.pdf

 

Best Regards,

Richard Tan

 

p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.

 

0 Kudos
RichardTanSY_Intel
333 Views

Does my latest reply helps?


Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
309 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
Reply