FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

VCCA of Cyclone IV

atech10
Beginner
613 Views

Why it should be that much sensitive that VCCA pin must be connected to 2.5V even if PLL not used? Can't we connect 1.2 V? what happens presently Cyclone IV can't be detected?

0 Kudos
2 Replies
a_x_h_75
New Contributor III
433 Views

You will need to connect VCCA to 2.5V even if you don't use the PLL. From the datasheet - "(1) You must power up VCCA even if the PLL is not used." You will not detect the FPGA without it.

 

If you don't have a 2.5V supply you will get away with a potential divider (two resistors) from a higher voltage rail e.g. 3.3V. It's not recommended but if you're not using the PLL it should get you up and running.

 

I recommend adding a separate 2.5V regulator (@$0.35) for VCCA if you re-spin the board.

 

Cheers,

Alex

0 Kudos
SreekumarR_G_Intel
433 Views

Hello Alex ,

Thank you for Quick and Prompt response . Your input really make sense.

 

Regards,

Sree

0 Kudos
Reply