FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5875 Discussions

Which DEV Board is the best???

Altera_Forum
Honored Contributor II
1,305 Views

This is my first time here and I am a university student. I started learning VHDL few days ago in my course and it is a pain to visit the university when I am off to work on the hardware and test the software I have written. Since I have no knowledge about the subject, I would like to ask which development board will suit me the best, as I would like to invest in one and study more effectively the subject. 

 

At university we work on EPM7128SLC84-10 device. The dev. board at university has seven segment display and keypad along with buttons and LEDs. I asked the technician if I can buy one and I am being told that just the board will cost £200 alone, leave apart the keypads and other attachments. So, I have decided to look around and find something economical and useful. 

 

I have seen this online http://wvshare.com/product/openepm1270-package-b.htm but not sure if I can learn and write the same code for this device as with the one at the university. There is one more which I have seen here :http://www.ebay.co.uk/itm/cyclone-nios-ii-altera-fpga-development-board-ep2c8q208-usb-blaster-programmer-/261068283772?_trksid=p5197.m1992&_trkparms=aid%3d111000%26algo%3drec.current%26ao%3d1%26asc%3d14%26meid%3d4041169661525203032%26pid%3d100015%26prg%3d1006%26rk%3d1%26sd%3d261068283772%26 as well which have ALTERA CYCLONE II as an IC which again I am not sure at this stage if it will cater for my need as a beginner and still be useful when I am a more proficient in VHDL programming. 

 

Your inputs will be very valuable to me, so I thank you in advance.
0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
413 Views

Those two kits seem overpriced for what they have. In the same price range you have the de0 nano (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=139&no=593) which is more powerful and should cover your needs for a very long while.

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

 

--- Quote Start ---  

Those two kits seem overpriced for what they have. In the same price range you have the de0 nano (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=139&no=593) which is more powerful and should cover your needs for a very long while. 

--- Quote End ---  

 

Thanks Daixiwen, please excuse my ignorance about the subject based on what I am going to ask. 

  • The simple programs that I make in VHDL and then assign pins to it in Quartus II software can be done with this board as well? 

  • Are there separate modules available for this board (and from where) like keyed, 7 segments displays, 16x2 LCD display etc 

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

Look at terasic dot com website. You can find all information about DE0-Nano board there, buy it and ask them directly about suitable add-on cards for DE0-Nano. It is really good board for learning.

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

 

--- Quote Start ---  

Look at terasic dot com website. You can find all information about DE0-Nano board there, buy it and ask them directly about suitable add-on cards for DE0-Nano. It is really good board for learning. 

--- Quote End ---  

 

Thanks for the answer. 

The website you recommended has many daughter boards but I could not see any related to 7 segment and keypad.  

 

I checked the IC on that board and it is some Cyclone iV series. I checked the comparable here and found this: http://wvshare.com/product/openep4ce6-c-package-b.htm . This is under my budget and what I would like to ask if this is similar to the de0 nano? The benefit I saw with this board is that it comes with a lot of attachments which the other board doesn't seem to offer.
0 Kudos
Altera_Forum
Honored Contributor II
413 Views

It's quite different from the DE0-Nano. I have a DE0-Nano, and I've got one of the Waveshare EP4CE6 core boards on order. I'll design my own interface boards for it, and the DE0-Nano.

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

If you do end up with the Waveshre, don't forget to buy the programmer (as it is not integrated).

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

 

--- Quote Start ---  

If you do end up with the Waveshre, don't forget to buy the programmer (as it is not integrated). 

--- Quote End ---  

 

So guys, if I buy this: http://wvshare.com/product/openep4ce6-c-package-b.htm 

and 

this : http://wvshare.com/product/usb-blaster-v2.htm 

 

  • Will I be up and running or will I need anything else? 

  • Is the IC on this board too inferior to the one in DE0 Nano? 

 

 

@leon, I am sorry but I am just a beginner so I don't know how to do DIY in creating own modules at this stage which is one of the reason I am kind of inclined towards wvshare board as it comes with all I can possibly use at UNI and more...
0 Kudos
Altera_Forum
Honored Contributor II
413 Views

That should be all that you need. I already had a USB Blaster.

0 Kudos
Altera_Forum
Honored Contributor II
413 Views

Yes this is what you need, and yes the FPGA is inferior to the one on the DE0. You will need also a bunch of modules. For example if you plan to run a Nios II CPU, you would need a SDRAM board. 

 

On the DE0 the SDRAM is already included, and you have the expansion ports. It would be easy to make a prototype board connecting the the DE0 Nano, with a keypad and 7 segment displays.
0 Kudos
Altera_Forum
Honored Contributor II
413 Views

Terasic also offers a nice utility for DE0-Nano to generate Quartus projects - you can spent the time for learning instead of writing boring pin definition and device settings files.

0 Kudos
Reply