Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1217 Discussions

Licensing for quartus Prime Lite 17.0.2

marie_toutain
Beginner
1,298 Views

Hello, 

 

I'm using Quartus Prime Lite 17.0.2 on linux using command line.

When I want to compile (quartus_sh --flow compile project.qpf ) I receive the following error:

 Error (292025): License file is not specified.

I downloaded lic_daemon_lnx64.tar and then executed "./lmutil lmgrd " but I still have the problem.

On the intel website it's writting that the Quartus Prime Lite  after version 8 doesn't need license.

 

How can I resolve this problem?

Thank you,
Marie

Labels (1)
0 Kudos
6 Replies
AR_A_Intel
Employee
1,261 Views

Hello

 

Welcome to INTEL forum. From my understanding, the Quartus Lite/web Edition software requires no license, so it will continue working even License file is not specified. Please continue with the compilations and report to us back if the compilation is not successful with error message in Quartus.


0 Kudos
marie_toutain
Beginner
1,213 Views

Hello,

Thank you for your answer.

However, I'm always blocked by the license .

When I run global request and the compilation isn't sucessful: I obtain the following result and there is no output folder, the top_upp_amp.rbf is missing

intelFPGA_lite_adress/17.0/quartus/bin/quartus_sh --flow compile file_qpf_address/top_upp_amp.qpf

Info: *******************************************************************
Info: Running Quartus Prime Shell
Info: Version 17.0.0 Build 595 04/25/2017 SJ Standard Edition
Info: Copyright (C) 2017 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Intel and sold by Intel or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details.
Info: Processing started: Mon Dec 18 10:03:16 2023
Info: Command: quartus_sh --flow compile file_qpf_address/top_upp_amp.qpf
Info: Quartus(args): compile file_qpf_address/top_upp_amp.qpf
Info: Project Name = file_qpf_address/top_upp_amp
Info: Revision Name = top_upp_amp
Error (292025): License file is not specified.
Error (293001): Quartus Prime Full Compilation was unsuccessful. 1 error, 0 warnings
Error: Flow compile (for project file_qpf_address/top_upp_amp) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.

Error (23031): Evaluation of Tcl script intelFPGA_lite_adress/17.0/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 5 errors, 0 warnings
Error: Peak virtual memory: 773 megabytes
Error: Processing ended: Mon Dec 18 10:03:18 2023
Error: Elapsed time: 00:00:02
Error: Total CPU time (on all processors): 00:00:01

 

Then I try running each step request but the result is the same : there is no output folder, the top_upp_amp.rbf is missing:

intelFPGA_lite_adress/17.0/quartus/bin/quartus_map --read_settings_files=on --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf

Error (292025): License file is not specified.
intelFPGA_lite_adress/17.0/quartus/bin/quartus_fit --read_settings_files=off --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf

Info: *******************************************************************
Info: Running Quartus Prime Fitter
Info: Version 17.0.0 Build 595 04/25/2017 SJ Standard Edition
Info: Copyright (C) 2017 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Intel and sold by Intel or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details.
Info: Processing started: Mon Dec 18 10:29:17 2023
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf
Info: qfit2_default_script.tcl version: #1
Info: Project = top_upp_amp
Info: Revision = top_upp_amp
Error (11720): Run Analysis and Synthesis (quartus_map) with top-level entity name "top_upp_amp" before running Fitter (quartus_fit)
Error: Quartus Prime Fitter was unsuccessful. 1 error, 0 warnings
Error: Peak virtual memory: 802 megabytes
Error: Processing ended: Mon Dec 18 10:29:17 2023
Error: Elapsed time: 00:00:00
Error: Total CPU time (on all processors): 00:00:00

quartus_map is running juste before this command but was blocked by the license problem.

intelFPGA_lite_adress/17.0/quartus/bin/quartus_asm --read_settings_files=off --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf
Error (292025): License file is not specified.
intelFPGA_lite_adress/17.0/quartus/bin/quartus_pow --read_settings_files=off --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf
Error (292025): License file is not specified.
intelFPGA_lite_adress/17.0/quartus/bin/quartus_sta file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf
Error (316008): Selected feature of TimeQuest Timing Analyzer is not available with your current license, or license does not exist
intelFPGA_lite_adress/17.0/quartus/bin/quartus_eda --read_settings_files=on --write_settings_files=off file_qpf_address/top_upp_amp.qpf -c file_qpf_address/top_upp_amp.qpf
Error (292025): License file is not specified.

At the end of all these commands there is no output folder or rbf file.

Do you know how I can resolve this problem?

0 Kudos
AR_A_Intel
Employee
1,190 Views

Alternatively, you could apply Quartus® II Software SW-QUARTUS-WE-FIX no-cost license. Login to Intel® FPGA Self Service Licensing Center and request the Quartus evaluation free license here https://licensing.intel.com/          

 

1.          Login to https://licensing.intel.com/  

2.          Go to Sign up for Evaluation or Free licenses

3.          Select Intel® Quartus® II Software SW-QUARTUS-WE-FIX

4.          # of Seats is always 1 for Quartus Web Edition

5.          Check the T&C box

6.          Click Get License button

7.          Check the option product Intel FPGA Software SII-NONVOLENCRYP

8.          Add New Computer or Assign an Existing computer

9.          Add the required fields

10.       Click Generate License

 

You will be taken to the Licensing page, and you should be able to receive the email with license attached


0 Kudos
marie_toutain
Beginner
1,139 Views

Hello,

I added the license following your message, I use nic adress to generate it.
I added license file to the folder /usr/local/flexlm/licenses.

Then I put the complet file name in LM_LICENSE_FILE and ALTERAD_LICENSE_FILE (/usr/local/flexlm/licenses/LR-150535_License.dat) I also try with the folder name in these aliases.
I run ./lmutil lmgrd
And then the project compilation commands: I obtained the same resulat as previously.
 

I executed the project in windows version quartus to compare: the compilation is OK.

But I need to be able to run quartus compilation via linux command line.
Miss I some steps to configure quartus with the licence?

0 Kudos
AR_A_Intel
Employee
1,127 Views

Hello

 

Thanks for update. Could you kindly help check your OS support, whether your machine is supported or not (OS) by referring to link below:

https://www.altera.com/support/support-resources/download/os-support.html     


0 Kudos
AR_A_Intel
Employee
999 Views

We have not received any response to the previous message I provided. This conversation will now be transferred to community support. If you have a new question or need further assistance, please don't hesitate to start a new thread to seek support from Intel experts. Otherwise, the community members will continue to be available to assist you in this ongoing discussion. Thank you.


0 Kudos
Reply