Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1143 Discussions

Modelsim ASE starter not directly support UVM

xuyang
Novice
6,074 Views

Hi Guys, I installed modelsim ASE starter edition 2021.02.

From common knowledge, I thought this tool has pre-compiled UVM features. But after I installed, I found `include "uvm_macros.svh" cannot be compiled. Then I checked library, no UVM related libs.

Did I missed some steps?

 

 

 

lib.pngwarning.png

0 Kudos
1 Solution
Nurina
Employee
6,052 Views

Hi,


Unfortunately ModelSim does not have pre-compiled UVM features. You are probably thinking of Questa Sim.


You need to download UVM source files, you can do that here: https://www.accellera.org/downloads/standards/uvm


And you'll need to compile the uvm_pkg as well.


vlog -work work -sv -stats=none C:/work/ST/simulation/tb_captur_1.sv <uvm_pkg path>/uvm_pkg.sv +incdir+<UVM source path>/src


Regards,

Nurina


View solution in original post

22 Replies
xuyang
Novice
633 Views

Hi Nurina

 

Good news! I found Starter Edition 20.1 can run with original UVM src (installed with modelsim). This morning I ran a wrong shortcut after a modelsim crash, I'm sorry.

 

Now with version 20.1, both original installed UVM src or separated downloaded source code (you suggested), can run successfully.

I will use the earlier 20.1 for current job, thanks.

 

I think I already have "accept as solution" for the original question, so it will be fine?

 

0 Kudos
Nurina
Employee
631 Views

Hi,

 

Glad your problem is solved! No problem with "accept as solution" for original question, you can also leave Kudos if you like my comment.

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

 

Regards,
Nurina

P/S: If you like my comment, feel free to give Kudos. If my comment solved your problem, feel free to accept my comment as solution!

Reply