Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1143 Discussions

OpenCL kernel compilation for a DE10-standard board via OpenCL SDK 18.0.0.614 Windows 7

amara5
New Contributor I
1,250 Views

I am trying to compile OpenCL kernel for a DE10-standard board via OpenCL SDK 18.0.0.614 Windows 7 using the command:

 

 

C:\intelFPGA\18.0\hld\board\de10_standard\test\hello_world\device>aoc hello_world.cl -o bin\hello_world.aocx -board=de10_standard_sharedonly -v -report

 

 

It outputs as in the attachment.

 

Apparently it cannot find a top.sof file

 

 

thanks

0 Kudos
1 Reply
MuhammadAr_U_Intel
639 Views
Hi, What version of compiler is recommended by Terasic ? Try compiling with the "c5soc" BSP that comes with OpenCL compiler installer package and see if you still face same issue. In case issue happens only with Terasci BSP please report it to Terasic. Thanks, Arslan
0 Kudos
Reply