Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

QuestaSim license windows 10

abcdef1029374273
Beginner
326 Views

Hello,

 

I succesfully generated license file for Questa Sim and set up environmental variable on Windows 10, but when I try to open QuestaSim I got Nativelink Error and pointed <project_name>_nativelink_simulation.rpt for more information. Based on my understanding of fragment of message in that file (which is provided in attachment) this issue may not be license problem (which I had before on Ubuntu), but installation problem related to Questa Sim version. Mentioned fragment:

 

================The following additional information is provided to help identify the cause of error while running nativelink scripts=================
Nativelink TCL script failed with errorCode: issued_nl_message
Nativelink TCL script failed with errorInfo: Can't launch Questa Intel FPGA Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.
while executing
"error "$emsg" "" "issued_nl_message""
invoked from within
"if [ catch {exec $vsim_cmd -version} version_str] {
set emsg "Can't launch $tool Simulation software -- make sure the software is properly installed..."
(procedure "launch_sim" line 88)

 

I use Quartus Prime Version 21.1.1 Build 850 06/23/2022 SJ Lite Edition.

 

Thanks in advance,

abcdef

0 Kudos
0 Replies
Reply