Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1162 Discusiones

Which Verilog Simulator to Install

MJ96
Principiante
2.457 Vistas

I'm new to FPGAs and Verilog simulation tools. I'm building a simple processor for fun and would like to know which Verilog simulation would be best for me to install. I would like that there be no code size limit. I'm willing to pay for it, but not thousands of dollars. BTW, I'm a college student if that helps.

Etiquetas (3)
0 kudos
1 Solución
_AK6DN_
Colaborador Valioso I
2.438 Vistas

Both of these are free and good simulators for verilog code:

https://github.com/verilator/verilator

https://github.com/steveicarus/iverilog

 

I use icarus verilog to simulate all my Altera FPGA designs written in verilog and find that is is accurate and fast.

They are both also very general purpose for simulating arbitrary verilog code, not necessarily FPGA related.

 

And for a waveform viewer, GTKwave is also an excellent free choice: https://gtkwave.sourceforge.net/

Ver la solución en mensaje original publicado

4 Respuestas
_AK6DN_
Colaborador Valioso I
2.439 Vistas

Both of these are free and good simulators for verilog code:

https://github.com/verilator/verilator

https://github.com/steveicarus/iverilog

 

I use icarus verilog to simulate all my Altera FPGA designs written in verilog and find that is is accurate and fast.

They are both also very general purpose for simulating arbitrary verilog code, not necessarily FPGA related.

 

And for a waveform viewer, GTKwave is also an excellent free choice: https://gtkwave.sourceforge.net/

Kenny_Tan
Moderador
2.393 Vistas

Kindly note that there will be some slowness on the first reply due to the public holiday, we will get back to you as soon as possible.



RichardTanSY_Intel
Empleados
2.373 Vistas

Thumb up for the Verilator and the Icarus Verilog.


Add on: there is also the free Aldec's VHDL Student Edition that you can check it out.

https://www.aldec.com/en/products/fpga_simulation/active_hdl_student


Best Regards,

Richard Tan


RichardTanSY_Intel
Empleados
2.373 Vistas

Thank you for acknowledging the solution provided by the community. I'm glad to hear that your question has been addressed.

Now, I will transition this thread to community support. If you have any further questions or concerns, please don't hesitate to reach out.

Thank you and have a great day!


Best Regards,

Richard Tan



Responder