Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1156 Discussions

questasim unable to checkout a license

Sri_FPGA
Beginner
637 Views

Hi , 

 

I am trying to install to run a questasim (RTL simulation) in quartus prime lite edition 22.1.

when I try to run the RTL simulation.

Error showing

" unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE) is set correctly and then run 'lmultil lmdiag' to diagnose the problem." 

But I already downloaded the license from the Intel® FPGA Self-Service Licensing Center .

(I used my PC NAME , NIC ID , FIXED Type License). And set the environmental variable ( image attached ).

In quartus prime software also I license path is detected ( image attached).

 

I try to run the questasim directly from the location "C:\intelFPGA_lite\22.1std\questa_fse\win64".
same error is showing.

Please tell anyone where I done the mistake.

Thanks for your time

Labels (1)
0 Kudos
2 Replies
AR_A_Intel
Employee
548 Views

Hi.

 

There is an issue with license generator recently. The license generation issue has been resolved. A fix has been deployed. Could help to request/regenerate again a new license file in self-service licensing center and try it.


0 Kudos
AR_A_Intel
Employee
455 Views

We have not heard from you and I hope that my last note in private message mail clears up this matter and it can now be move forward. I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


0 Kudos
Reply