Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises

help me

PNam01
Beginner
1,201 Views

Error: (vlog-13069) Waveform2.vwf.vt(30): near "-": syntax error, unexpected '-', expecting "SystemVerilog keyword 'import'" or ';' or '#' or '('.

# End time: 11:25:03 on May 18,2020, Elapsed time: 0:00:01

# Errors: 1, Warnings: 0

# ** Error: c:/

0 Kudos
2 Replies
Isaac_V_Intel
Employee
1,161 Views

Hi Pham,

 

Could you please let me know which are the sequence that you follow to get into this error?

 

Next question, you are compiling or using an example design or your own design?

 

Could you share all the transcript or the source code for reproduce the error? Because when you get this type of errors it is because the error is inside the code, not in the .vwf.

 

Best regards,

Isaac.

0 Kudos
Isaac_V_Intel
Employee
1,161 Views

Hello Pham,

 

Did you find the solution for your issue?

 

Best regards,

Isaac.

0 Kudos
Reply