Intel® High Level Design
Support for Intel® High Level Synthesis Compiler, DSP Builder, OneAPI for Intel® FPGAs, Intel® FPGA SDK for OpenCL™
681 Discussions

Compiler error oneAPI 2024.2

DorianL
Novice
487 Views

Hi everyone,

 

I just updated my version of oneAPI to 2024.2 with the Base Toolkit and I don't know if I'm the only one but even after downloading the FPGA Support Package I can't compile my code anymore for emulation and for simulation. Do you have a solution or should I rollback to oneAPI 2024.1 ? Thank you !

 

DorianL

0 Kudos
8 Replies
Kevin_Xu_Intel
Employee
436 Views

Hi Dorian,

Can you show us the error you are getting? Also if you get a chance, can you also try compile one of our oneAPI sample design, such as this one: https://github.com/oneapi-src/oneAPI-samples/tree/master/DirectProgramming/C%2B%2BSYCL_FPGA/Tutorials/GettingStarted/fpga_template 

 

Thanks,

 

-Kevin

0 Kudos
DorianL
Novice
402 Views

Hi @Kevin_Xu_Intel,

 

I just downloaded the example from github and here is the error that I have when I try to compile the code for emulation and for simulation :

erreur_emu_simu.png

Do you have an idea of what could be the issue ? Thank you !

 

DorianL

0 Kudos
Kevin_Xu_Intel
Employee
380 Views

Hi DorianL,

 

It looks like there might be an installation problem. I just have a few questions to help us debug your install:

  1. What OS are you installing on? 
  2. Can you show us your environment setup? (Can i see the output of "printenv"?)
  3. I want to double check if the FPGA compiler is working, can you try "aocl -diagnose"?

Thanks,

 

-Kevin

0 Kudos
DorianL
Novice
323 Views

Hi @Kevin_Xu_Intel ,

 

Thank you for your answer :

 

1. My OS is Ubuntu 20.04

2. Here is the output of the "printenv" command

SHELL=/bin/bash
TBBROOT=/opt/intel/oneapi/tbb/2021.13/env/..
SESSION_MANAGER=local/user:@/tmp/.ICE-unix/1639,unix/user:/tmp/.ICE-unix/1639
QT_ACCESSIBILITY=1
COLORTERM=truecolor
XDG_CONFIG_DIRS=/etc/xdg/xdg-ubuntu:/etc/xdg
XDG_MENU_PREFIX=gnome-
GNOME_DESKTOP_SESSION_ID=this-is-deprecated
ONEAPI_ROOT=/opt/intel/oneapi
PKG_CONFIG_PATH=/opt/intel/oneapi/vtune/2024.2/include/pkgconfig/lib64:/opt/intel/oneapi/tbb/2021.13/env/../lib/pkgconfig:/opt/intel/oneapi/mpi/2021.13/lib/pkgconfig:/opt/intel/oneapi/mkl/2024.2/lib/pkgconfig:/opt/intel/oneapi/ippcp/2021.12/lib/pkgconfig:/opt/intel/oneapi/dpl/2022.6/lib/pkgconfig:/opt/intel/oneapi/dnnl/2024.2/lib/pkgconfig:/opt/intel/oneapi/dal/2024.5/lib/pkgconfig:/opt/intel/oneapi/compiler/2024.2/lib/pkgconfig:/opt/intel/oneapi/ccl/2021.13/lib/pkgconfig/:/opt/intel/oneapi/advisor/2024.2/include/pkgconfig/lib64:
GNOME_SHELL_SESSION_MODE=ubuntu
SSH_AUTH_SOCK=/run/user/1000/keyring/ssh
CCL_ROOT=/opt/intel/oneapi/ccl/2021.13
I_MPI_ROOT=/opt/intel/oneapi/mpi/2021.13
XMODIFIERS=@im=ibus
DESKTOP_SESSION=ubuntu
FI_PROVIDER_PATH=/opt/intel/oneapi/mpi/2021.13/opt/mpi/libfabric/lib/prov:/usr/lib/x86_64-linux-gnu/libfabric
SSH_AGENT_PID=1601
DNNLROOT=/opt/intel/oneapi/dnnl/2024.2
DIAGUTIL_PATH=/opt/intel/oneapi/dpcpp-ct/2024.2/etc/dpct/sys_check/sys_check.sh:/opt/intel/oneapi/debugger/2024.2/etc/debugger/sys_check/sys_check.py:/opt/intel/oneapi/compiler/2024.2/etc/compiler/sys_check/sys_check.sh
ADVISOR_2024_DIR=/opt/intel/oneapi/advisor/2024.2
GTK_MODULES=gail:atk-bridge
PWD=/home/user
CCL_CONFIGURATION=cpu_gpu_dpcpp
LOGNAME=user
XDG_SESSION_DESKTOP=ubuntu
QSYS_ROOTDIR=/opt/intelFPGA_pro/23.1/qsys/bin
DPL_ROOT=/opt/intel/oneapi/dpl/2022.6
XDG_SESSION_TYPE=x11
MANPATH=/opt/intel/oneapi/mpi/2021.13/share/man:/opt/intel/oneapi/debugger/2024.2/share/man:/opt/intel/oneapi/compiler/2024.2/share/man:
GPG_AGENT_INFO=/run/user/1000/gnupg/S.gpg-agent:0:1
QUARTUS_ROOTDIR_OVERRIDE=/opt/intelFPGA_pro/23.1/quartus
XAUTHORITY=/run/user/1000/gdm/Xauthority
GJS_DEBUG_TOPICS=JS ERROR;JS LOG
WINDOWPATH=2
HOME=/home/user
USERNAME=user
IM_CONFIG_PHASE=1
GDB_INFO=/opt/intel/oneapi/debugger/2024.2/share/info/
CCL_CONFIGURATION_PATH=
LANG=fr_FR.UTF-8
LS_COLORS=rs=0:di=01;34:ln=01;36:mh=00:pi=40;33:so=01;35:do=01;35:bd=40;33;01:cd=40;33;01:or=40;31;01:mi=00:su=37;41:sg=30;43:ca=30;41:tw=30;42:ow=34;42:st=37;44:ex=01;32:*.tar=01;31:*.tgz=01;31:*.arc=01;31:*.arj=01;31:*.taz=01;31:*.lha=01;31:*.lz4=01;31:*.lzh=01;31:*.lzma=01;31:*.tlz=01;31:*.txz=01;31:*.tzo=01;31:*.t7z=01;31:*.zip=01;31:*.z=01;31:*.dz=01;31:*.gz=01;31:*.lrz=01;31:*.lz=01;31:*.lzo=01;31:*.xz=01;31:*.zst=01;31:*.tzst=01;31:*.bz2=01;31:*.bz=01;31:*.tbz=01;31:*.tbz2=01;31:*.tz=01;31:*.deb=01;31:*.rpm=01;31:*.jar=01;31:*.war=01;31:*.ear=01;31:*.sar=01;31:*.rar=01;31:*.alz=01;31:*.ace=01;31:*.zoo=01;31:*.cpio=01;31:*.7z=01;31:*.rz=01;31:*.cab=01;31:*.wim=01;31:*.swm=01;31:*.dwm=01;31:*.esd=01;31:*.jpg=01;35:*.jpeg=01;35:*.mjpg=01;35:*.mjpeg=01;35:*.gif=01;35:*.bmp=01;35:*.pbm=01;35:*.pgm=01;35:*.ppm=01;35:*.tga=01;35:*.xbm=01;35:*.xpm=01;35:*.tif=01;35:*.tiff=01;35:*.png=01;35:*.svg=01;35:*.svgz=01;35:*.mng=01;35:*.pcx=01;35:*.mov=01;35:*.mpg=01;35:*.mpeg=01;35:*.m2v=01;35:*.mkv=01;35:*.webm=01;35:*.ogm=01;35:*.mp4=01;35:*.m4v=01;35:*.mp4v=01;35:*.vob=01;35:*.qt=01;35:*.nuv=01;35:*.wmv=01;35:*.asf=01;35:*.rm=01;35:*.rmvb=01;35:*.flc=01;35:*.avi=01;35:*.fli=01;35:*.flv=01;35:*.gl=01;35:*.dl=01;35:*.xcf=01;35:*.xwd=01;35:*.yuv=01;35:*.cgm=01;35:*.emf=01;35:*.ogv=01;35:*.ogx=01;35:*.aac=00;36:*.au=00;36:*.flac=00;36:*.m4a=00;36:*.mid=00;36:*.midi=00;36:*.mka=00;36:*.mp3=00;36:*.mpc=00;36:*.ogg=00;36:*.ra=00;36:*.wav=00;36:*.oga=00;36:*.opus=00;36:*.spx=00;36:*.xspf=00;36:
XDG_CURRENT_DESKTOP=ubuntu:GNOME
VTE_VERSION=6003
SETVARS_COMPLETED=1
APM=/opt/intel/oneapi/advisor/2024.2/perfmodels
CMAKE_PREFIX_PATH=/opt/intel/oneapi/tbb/2021.13/env/..:/opt/intel/oneapi/mkl/2024.2/lib/cmake:/opt/intel/oneapi/ipp/2021.12/lib/cmake/ipp:/opt/intel/oneapi/dpl/2022.6/lib/cmake/oneDPL:/opt/intel/oneapi/dnnl/2024.2/lib/cmake:/opt/intel/oneapi/dal/2024.5:/opt/intel/oneapi/compiler/2024.2
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/73641c1f_3637_4d02_b1b6_e43e9ee4808b
INVOCATION_ID=4dfc70fc819a4c6b8199de4aad6eb293
MANAGERPID=1241
CMPLR_ROOT=/opt/intel/oneapi/compiler/2024.2
INFOPATH=/opt/intel/oneapi/debugger/2024.2/share/info
GJS_DEBUG_OUTPUT=stderr
IPPROOT=/opt/intel/oneapi/ipp/2021.12
IPP_TARGET_ARCH=intel64
LESSCLOSE=/usr/bin/lesspipe %s %s
XDG_SESSION_CLASS=user
PYTHONPATH=/opt/intel/oneapi/advisor/2024.2/pythonapi
TERM=xterm-256color
DALROOT=/opt/intel/oneapi/dal/2024.5
LESSOPEN=| /usr/bin/lesspipe %s
USER=user
LIBRARY_PATH=/opt/intel/oneapi/tbb/2021.13/env/../lib/intel64/gcc4.8:/opt/intel/oneapi/mpi/2021.13/lib:/opt/intel/oneapi/mkl/2024.2/lib/:/opt/intel/oneapi/ippcp/2021.12/lib/:/opt/intel/oneapi/ipp/2021.12/lib:/opt/intel/oneapi/dpl/2022.6/lib:/opt/intel/oneapi/dnnl/2024.2/lib:/opt/intel/oneapi/dal/2024.5/lib:/opt/intel/oneapi/compiler/2024.2/lib:/opt/intel/oneapi/ccl/2021.13/lib/
DAL_MAJOR_BINARY=2
GNOME_TERMINAL_SERVICE=:1.82
IPPCRYPTOROOT=/opt/intel/oneapi/ippcp/2021.12
DISPLAY=:0
QUARTUS_ROOTDIR=/opt/intelFPGA_pro/23.1/quartus
IPPCP_TARGET_ARCH=intel64
SHLVL=1
VTUNE_PROFILER_2024_DIR=/opt/intel/oneapi/vtune/2024.2
QT_IM_MODULE=ibus
OCL_ICD_FILENAMES=/opt/intel/oneapi/compiler/2024.2/lib/libintelocl.so
LM_LICENSE_FILE=/opt/intelFPGA_pro/LR-177233_License.dat
CLASSPATH=/opt/intel/oneapi/mpi/2021.13/share/java/mpi.jar
INTELFPGAOCLSDKROOT=/opt/intelFPGA_pro/23.1/hld
LD_LIBRARY_PATH=/opt/intel/oneapi/tbb/2021.13/env/../lib/intel64/gcc4.8:/opt/intel/oneapi/mpi/2021.13/opt/mpi/libfabric/lib:/opt/intel/oneapi/mpi/2021.13/lib:/opt/intel/oneapi/mkl/2024.2/lib:/opt/intel/oneapi/ippcp/2021.12/lib/:/opt/intel/oneapi/ipp/2021.12/lib:/opt/intel/oneapi/dpl/2022.6/lib:/opt/intel/oneapi/dnnl/2024.2/lib:/opt/intel/oneapi/debugger/2024.2/opt/debugger/lib:/opt/intel/oneapi/dal/2024.5/lib:/opt/intel/oneapi/compiler/2024.2/opt/compiler/lib:/opt/intel/oneapi/compiler/2024.2/lib:/opt/intel/oneapi/ccl/2021.13/lib/
VTUNE_PROFILER_DIR=/opt/intel/oneapi/vtune/2024.2
QROOT=/opt/intelFPGA_pro/23.1
XDG_RUNTIME_DIR=/run/user/1000
MKLROOT=/opt/intel/oneapi/mkl/2024.2
DAL_MINOR_BINARY=0
JOURNAL_STREAM=8:47110
XDG_DATA_DIRS=/usr/share/ubuntu:/usr/local/share/:/usr/share/:/var/lib/snapd/desktop
NLSPATH=/opt/intel/oneapi/mkl/2024.2/share/locale/%l_%t/%N:/opt/intel/oneapi/compiler/2024.2/lib/compiler/locale/%l_%t/%N
PATH=/opt/intel/oneapi/vtune/2024.2/bin64:/opt/intel/oneapi/mpi/2021.13/bin:/opt/intel/oneapi/mkl/2024.2/bin/:/opt/intel/oneapi/dpcpp-ct/2024.2/bin:/opt/intel/oneapi/dev-utilities/2024.2/bin:/opt/intel/oneapi/debugger/2024.2/opt/debugger/bin:/opt/intel/oneapi/compiler/2024.2/bin:/opt/intel/oneapi/advisor/2024.2/bin64:/opt/intelFPGA_pro/23.1/questa_fe/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin:/opt/intelFPGA_pro/23.1/quartus/bin:/opt/intelFPGA_pro/23.1/quartus/linux64:/opt/intelFPGA_pro/23.1/quartus/qsys/bin:/opt/intelFPGA_pro/23.1/quartus/sopc_builder/bin:/opt/intelFPGA_pro/23.1/questa_fse/bin:/opt/intelFPGA_pro/23.1/quartus/bin
GDMSESSION=ubuntu
INTEL_PYTHONHOME=/opt/intel/oneapi/debugger/2024.2/opt/debugger
DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/1000/bus
CPATH=/opt/intel/oneapi/tbb/2021.13/env/../include:/opt/intel/oneapi/mpi/2021.13/include:/opt/intel/oneapi/mkl/2024.2/include:/opt/intel/oneapi/ippcp/2021.12/include:/opt/intel/oneapi/ipp/2021.12/include:/opt/intel/oneapi/dpl/2022.6/include:/opt/intel/oneapi/dpcpp-ct/2024.2/include:/opt/intel/oneapi/dnnl/2024.2/include:/opt/intel/oneapi/dev-utilities/2024.2/include:/opt/intel/oneapi/dal/2024.5/include/dal:/opt/intel/oneapi/ccl/2021.13/include
_=/usr/bin/printenv

 

3. The command "aocl -diagnose" is not found on my system even after loading the oneAPI environment variables. Does it means that I don't have the FPGA compiler ?

Thank you !

 

DorianL

0 Kudos
Kevin_Xu_Intel
Employee
306 Views

Hi DorianL,

 

Yes, if aocl isn't recognized on your system after running the setvars script, that means the FPGA support package isn't correctly installed. 

Because we separated the FPGA support package in 2024.2, we put together a installation guide:

https://www.intel.com/content/www/us/en/docs/oneapi/installation-guide-linux/2024-2/install-compiler-components-for-altera-fpga.html 

Can your refer to this guide to see if the install is correct? If needed reinstall both oneAPI basekit and FPGA support package according to the guide? 

 

Thanks,

 

-Kevin

0 Kudos
Kevin_Xu_Intel
Employee
370 Views

Hi DorianL,

 

I found someone who encountered the same error: 

OpenCL platform ID is empty
OpenCL platform name is empty
Failed to find any of these OpenCL platforms:
Intel(R) FPGA Emulation Platform for OpenCL(TM)
Intel(R) FPGA Emulation Platform for OpenCL(TM) (preview)

You might want to try reinstall the oneAPI base toolkit and FPGA support package according to this guide:

https://www.intel.com/content/www/us/en/docs/oneapi/installation-guide-linux/2024-2/install-compiler-components-for-altera-fpga.html 

 

Thanks,

 

-Kevin

0 Kudos
DorianL
Novice
222 Views

Hi @Kevin_Xu_Intel,

 

Thank you for your help ! It is now working with the installation guide that you gave me. You can close the topic now.

 

DorianL

0 Kudos
BoonBengT_Intel
Moderator
194 Views

Hi @DorianL,


Great! Good to know that it your doubts has been clarified, this thread it will be transitioned to community support for further help on doubts in this thread. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support.

Thank you for the questions and as always pleasure having you here.


Best Wishes

BB


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
Reply