Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16753 Discussions

Beginner's Talk: Version 12 confusion

Altera_Forum
Honored Contributor II
874 Views

Hello. 

 

I used Quartus 9 about two years ago. I never got much into it - I was only interested in compiling and simulating some very basic VHDL code. At the time, it was quite simple - write a VHDL file, save, compile, make a waveform file and simulate. 

 

Now... well, it's different for starters. I've been trying to get it work all day today. I checked out all the tutorials, the handbook, even the interactive tutorials - to many to complicated things. Click here, click there, see this, see that...  

 

To clarify more, I just want to simulate something as simple as this: 

 

entity And_2 is port (x, y: in BIT; z: out BIT); end entity And_2; architecture ex1 of And_2 is begin z <= x and y; end architecture ex1; 

 

Could you please help me? What do I need to do to get a simple click & simulate as it was in Quartus 9? That's what I need for now - I am just starting. 

 

If it cannot be done without all the stuff I don't want to know at the moment, is there an alternative software that I can use? It has to be free, of course - I am a student. 

 

Thank you.
0 Kudos
0 Replies
Reply