Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Dynamic array in Verilog

Altera_Forum
Honored Contributor II
1,367 Views

hello everyone 

I know that dynamic array is not supported in Verilog and Quartus. I want to know does anybody has an idea or algorithm to use instead of dynamic array. I mean I am searching for something that works instead of a dynamic array. 

thanks
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
471 Views

What do you need a dynamic array for? Is this for an FPGA or for a test bench?

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

yes it is for FPGA.

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

And why do you need dynamic re-sizing?

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

Not that it's an algoirthm but memory would be a good candidate, just size the memory for the maximum dynamic range you think you'll need. We don't really know what you are trying to accomplish so our recommendations will be shots in the dark.

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

I am working on an adaptive filter that number of its coefficient is changeable. That is why I need dynamic re-sizing.

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

You better set coefficients to maximum case then assign zero to those redundant

0 Kudos
Reply