Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Error while simulation

Yogesh_D
Beginner
1,299 Views

Hi,

 

I am using Quartus lite 21.1 version.

In which I am trying to use simulator showing below error

 

c:/intelfpga_lite/21.1/questa_fse/win64//vsim -c -do New_test.do

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)

is set correctly and then run 'lmutil lmdiag' to diagnose the problem.

Unable to checkout a license. Vsim is closing.

 

** Error: Invalid license environment. Application closing.

 

Error.

 

Can any one help me to resolve this issue.

 

I am a beginner and using free version of Quartus lite learning at home as a hobby.

 

Regards,

Yogesh 

0 Kudos
3 Replies
Zawani_M_Intel
Employee
1,255 Views

Dear Yogesh_D,

 

Thank you for using Intel FPGA Community Forum.

To proceed further, I have sent you an email to get some confidential info.

 

Stay safe and take care!

 

Thanks!

 

Wani

0 Kudos
Yogesh_D
Beginner
1,228 Views

Hi Wani,

 

How can I get license file as I am facing license error in simulation.

Is download license file will be available for free or is it required subscriptions for 1monthly or yearly etc.

As I have started learning verilog and desperately need simulation software for my codes.

 

Please help on this. As email sent haven't received any response

 

Regards,

Yogesh 

0 Kudos
NCAT_KB
Beginner
1,220 Views

Hello Wani,

 

I am receiving the exact same error message. What is Questa? I had no issues with ModelSim.

NCAT_KB_0-1641487985408.png

During the installation of Quartus Prime Lite, the following components were selected:

NCAT_KB_1-1641488120346.png

 

0 Kudos
Reply