Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16624 Discussions

FPGA ALTERA QUARTUS VERSION 22.1 with niosv-processor

BCPRAVEEN1234
Beginner
669 Views

respected sir

iam doing simple helloworld program using niosv processor in altera quartus prime std 22.1 version every thing is done but in output files folder  .sof  file is not generated i tried a lot of times  but the file is not generated please give me suggestions why the file is not generated here iam using de1-soc board

BCPRAVEEN1234_0-1709202056851.png

 

Labels (1)
0 Kudos
6 Replies
Vipin_Singh1
Moderator
646 Views

Hi Chandra, we would like to inform you that we are routing your query to the dedicated team for further assistance.


0 Kudos
FvM
Valued Contributor III
624 Views
No .sof indicates missing Nios V license, fitter messages should report the reason.

Review paragraph 1.3 "Licensing" in Nios V design handbook. You need to request a free license.
0 Kudos
wwanalim_intel
Employee
566 Views

Hi,

 

Greetings and welcome to Intel's forum.

Please give me some time to check on this issue and will get back to you with the update.

 

Thank you.

Regards,

Fathulnaim


0 Kudos
wwanalim_intel
Employee
564 Views

Hi Chandra,


Can you check the fitter report messages, usually no .sof is related to the License of niosv.


If you got this type of error, you can solve it by requesting free license of niosV by referring to the chapter 1.3 on NiosV Embedded Processor Design handbook. You can refer it on the link below.


https://www.intel.com/content/www/us/en/docs/programmable/726952/23-4/processor-licensing.html


Thank you.

Regards,

Fathulnaim


0 Kudos
wwanalim_intel
Employee
528 Views

Hi,

 

Do you have any updates to share about this issue?


0 Kudos
wwanalim_intel
Employee
459 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


0 Kudos
Reply