Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16624 Discussions

Instantiation of 'asj_xnqg' failed. The design unit was not found.

tsoundi
Novice
503 Views

Hi,

 

I implemented an NCO and try to run a testbench. I added the .qip files of the nco and when i run the testbench I get following errors in Modelsim, I have quartus prime lite edition 20.1.0 and modelsim 2020.1. Can someone help me please : 

# ** Error: (vsim-3033) Instantiation of 'asj_xnqg' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 309 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'segment_arr_tdl' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 315 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_altqmcpipe' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 326 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_dxx_g' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 345 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_dxx' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 352 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_nco_apr_dxx' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 363 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_gar' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 370 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'sid_2c_1p' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 380 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_nco_as_m_cen' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 393 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_nco_as_m_cen' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 406 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'segment_sel' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 419 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_nco_mob_rw' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 433 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # ** Error: (vsim-3033) Instantiation of 'asj_nco_isdr' failed. The design unit was not found. # Time: 0 ps Iteration: 0 Instance: /sinus_test_top_tb/uut/five_hundred_k_comp/nco_ii_0 File: /home/indi/Documents/ALL_tests/sinus_test/five_hundred_k/synthesis/submodules/five_hundred_k_nco_ii_0.v Line: 445 # Searched libraries: # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/220model # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/sgate # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_mf # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/altera_lnsim # /home/indi/intelFPGA/20.1/modelsim_ase/altera/vhdl/cyclonev # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/rtl_work # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k # /home/indi/Documents/ALL_tests/sinus_test/simulation/modelsim/five_hundred_k

Labels (1)
0 Kudos
1 Solution
SyafieqS
Moderator
480 Views

May I know if NCO is from IP catalog? or custom IP?

If from catalog, you probably need license to simulate it.


View solution in original post

0 Kudos
3 Replies
SyafieqS
Moderator
481 Views

May I know if NCO is from IP catalog? or custom IP?

If from catalog, you probably need license to simulate it.


0 Kudos
tsoundi
Novice
468 Views

Hello,

 

Thanks for your answer, I first tried with an NCO from the IP catalog. But I made my own NCO and it works perfectly now. 

 

Greetings,

Daoud

0 Kudos
SyafieqS
Moderator
459 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, Please login to https://supporttickets.intel.com/, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 5/5 survey


0 Kudos
Reply