Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16545 Discussions

Internal Error: Sub-system: CDB_SGATE, File: /quartus/db/cdb_sgate/cdb_sgate_wys_titan.cpp, Line: 1212

mblanch
Beginner
1,464 Views

Hello,

 

We have some found problem during project compilation using Quartus Prime Lite 18.1 in a specific computer model that we are using. Exactly same error with the same project in two computers of that same laptop model (MSI GS63) but project compiles ok in other different computers, we have already tried with a workstation and a Dell laptop, all the computers with Windows 10 Pro 64-bit OS. So it seems something machine-related but not because of a hardware component failure, and it's a problem because we planned to substitute laptops of all development team members.

 

-Tried deleting db and temp folders.

-Tried cleaning out project.

No sucess in any case.

 

As the error causing that Quartus exception is always in the same line of code and in the same file, I hope somebody can take a look at that line of code and drop us some hints or ideas to understand what is happening. Any help would be very much appreciated.

 

----

 

Problem Details

Error:

Internal Error: Sub-system: CDB_SGATE, File: /quartus/db/cdb_sgate/cdb_sgate_wys_titan.cpp, Line: 1212

Failed to initialize pll info.

Stack Trace:

  0x10ee7d: CDB_SGATE_WYS_PROCESS_PARAM_IMPL::process_parameter_titan_pll_charge_pump_current_common + 0x4f1 (db_cdb_sgate)

  0xf4008: CDB_SGATE_WYS_PROCESS_PARAM_IMPL::visit_cuda_pll + 0x14a4 (db_cdb_sgate)

   0x421a: CDB_SGATE_WYS_PROCESS_PARAM::process_parameters + 0x26 (db_cdb_sgate)

   0x43ca: cdb_sgate_check_and_process_wysiwyg_parameters + 0x62 (db_cdb_sgate)

  0xe0ed1: SGN_FN_LIB::sgate_wysiwyg_mapper + 0x1c01 (synth_sgn)

  0xe37eb: SGN_FN_LIB::start_vrf_flow + 0x3b (synth_sgn)

  0xe1d9b: SGN_FN_LIB::start + 0xa1b (synth_sgn)

  0xc294a: SGN_EXTRACTOR::single_module_extraction + 0x15a (synth_sgn)

  0xb7524: SGN_EXTRACTOR::recursive_extraction + 0x204 (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb08c3: SGN_EXTRACTOR::extract + 0x1d3 (synth_sgn)

  0x1324e: sgn_qic_full + 0x19e (synth_sgn)

   0x4351: qsyn_execute_sgn + 0x131 (quartus_map)

  0x13f9c: QSYN_FRAMEWORK::execute_core + 0x12c (quartus_map)

  0x13aa6: QSYN_FRAMEWORK::execute + 0x496 (quartus_map)

  0x112bc: qexe_do_normal + 0x1ec (comp_qexe)

  0x16142: qexe_run + 0x432 (comp_qexe)

  0x16e51: qexe_standard_main + 0xc1 (comp_qexe)

  0x1b08b: qsyn_main + 0x51b (quartus_map)

  0x12e98: msg_main_thread + 0x18 (CCL_MSG)

  0x1467e: msg_thread_wrapper + 0x6e (CCL_MSG)

  0x16660: mem_thread_wrapper + 0x70 (ccl_mem)

  0x12761: msg_exe_main + 0xa1 (CCL_MSG)

  0x29872: __tmainCRTStartup + 0x10e (quartus_map)

  0x17bd3: BaseThreadInitThunk + 0x13 (KERNEL32)

  0x6ced0: RtlUserThreadStart + 0x20 (ntdll)

 

End-trace

 

 

Executable: quartus_map

Comment:

None

 

System Information

Platform: windows64

OS name: Windows 10

OS version: 10.0

 

Quartus Prime Information

Address bits: 64

Version: 18.1.0

Build: 625

Edition: Lite Edition

 

0 Kudos
10 Replies
Kenny_Tan
Moderator
1,180 Views

Hi Marc,

 

Can you attached your design.qar file here? Let me know if you want to attached your design privately.

 

Thanks

0 Kudos
mblanch
Beginner
1,180 Views

Hello KTan,

 

I would like to share that design file privately, I already have it prepared. Please can you enable private messaging capability for the files sharing?

 

BTW, later we can share solution here for other users having similar problem.

 

BR

0 Kudos
Kenny_Tan
Moderator
1,180 Views

Hi Marc,

 

You can check your inbox on this.

 

Thanks,

Best regards,

Kenny

0 Kudos
mblanch
Beginner
1,180 Views

Hi Kenny,

 

I have added the files on the inbox, some days ago, have you already received them? Is there any news on the topic, as it is blocking us. Thanks!

 

BR,

Marc

0 Kudos
Kenny_Tan
Moderator
1,180 Views

Hi Marc,

 

I will look into this asap.

 

Best regards,

Kenny

0 Kudos
Kenny_Tan
Moderator
1,180 Views

Hi Marc,

 

Seems like we cannot duplicate the case. Since this issue was related to PLL. Can you help to do two things in windows?

 

1) Delete and regenerate the PLL for the project.

2) Check what software is running in the background, in the past we had customer having NI software causing the crash.

 

Thanks

0 Kudos
Kenny_Tan
Moderator
1,180 Views

Any update?

0 Kudos
Kenny_Tan
Moderator
1,180 Views

I try on linux and there are no failure. We have seen this in the past, after user install all the device for the Quartus, it passed. Can you check on your side?

jimmay
Beginner
1,180 Views

I recently encountered problems matching the Quartus exception from the OP. Tried compilation on Linux with no success. Installed the complete software with all devices as you suggested and compilation was successful. Good to go now. Thanks for your help!

Kenny_Tan
Moderator
1,180 Views

Any update?

0 Kudos
Reply