Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16650 Discussions

ModelSim 6.6d Radix->Fixed Point

Altera_Forum
Honored Contributor II
3,121 Views

Hi all 

I found this what looked like very useful command in ModelSim 6.6d Wave 

 

When I right click a signal I get the Radix->Fixed Point. There I can select how many bits are the fractional part. How ever when I do this on any signal then I always get 11111.11 even if the bits where 1000110... I was hoping I would see something like 12.50, 13.25 etc... 

 

Also ModelSim has crashed 2 times now when I have been trying this out... Is this a bug or is there any way to work around this? Or am I misunderstanding something... 

 

Cheers 

Stefan
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
1,995 Views

has no one tried this out?

0 Kudos
Altera_Forum
Honored Contributor II
1,995 Views

I get the same bug. It may be fixed in modelsim 10. 

However if I use fixed point types it works fine (I dont use decimal representation of fixed point - I just stick with hex).
0 Kudos
Altera_Forum
Honored Contributor II
1,995 Views

Did you ever find the solution to this?

0 Kudos
Altera_Forum
Honored Contributor II
1,995 Views

The crash was fixed in 6.6f.  

To get the numbers displayed in decimal fractions, you need to set the default radix to decimal.
0 Kudos
Reply