Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Problème when simuling with modelsim error 1598 and 1136 fiftyfivenm not found

OSCHM
Beginner
2,723 Views

Hello,

 

Thanks in advance to all dear community members.

 

We encounter problems with modelsim and quartus when launching the simulations.

 

When we run it, we have this error:

 

(vcom-1598) Library "fiftyfivenm" not found.

(vcom-1136) Unknown identifier "FIFTYFIVENM"

 

We work on Quartus 18.0.0.614 and ModelSim 18.0.0.614.

 

Have you somme idea?

 

Thanks in advance😊

 

quartus_prime_standard_edition_modelsim_ase_error.png

 

0 Kudos
5 Replies
Kenny_Tan
Moderator
1,361 Views

Hi,

 

Did you install Max 10 device? Can you attached your design.qar files and the steps that you have used?

 

Thanks​

0 Kudos
Abe
Valued Contributor II
1,361 Views

Are you performing RTL Simulation or Gate-level simulation? Is it the Standard or Pro version of the tool?

 

0 Kudos
FDado
Beginner
1,361 Views

hello,

We performeda functional simulation using the university program VWF tool provided with quartus prime standard edition.

0 Kudos
FDado
Beginner
1,361 Views

Hello everyone and hank you for your reply,

First of all, I want to point out that I work with OSCHM who posted this question. You will find attached the .qar project. It's a simple tutorial implementing an XOR gate using VHDL.

The version used of quartus is Standard Prime Quartus.

Tha max10 device is aleredy installed.

 

Thank you again for help!

0 Kudos
Abe
Valued Contributor II
1,361 Views

I looked at your Project file and it seems you have set the incorrect ModelSim simulator variable. You may have set the path to ModelSim Intel Edition in the path for the Standalone ModelSim option.

 

Open the QSF file ( tutor.qsf) in notepad or any other text editor and search for the following line:

 

set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim(Verilog)"

 

Change this to the following:

 

set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"

 

Save and close the file. Now, invoke Quartus tool, click Tools, then Options.

In the Options window, select EDA Tool Options and in the box for ModelSim-Altera enter the path to the installed Modelsim Intel Edition. Click Ok to close the window.

Now compile the design and run the RTL Simulation. It should launch ModelSim and compile the design and be ready for simulation.

 

modelsim_altera.jpg

Reply