Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16636 Discussions

Quartus not recognizing VHDL functions in 2008 version of ieee.numeric_std

Altera_Forum
Honored Contributor II
4,376 Views

I have a bunch of VHDL code that works in SynplifyPro, but I'm having trouble getting it working in Quartus. It appears that Quartus is using an outdated version of ieee.numeric_std, but I have no idea how or where that is specified. I am using a tcl-based compile flow, which includes the following command: 

 

set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 

 

But when I run synthesis, I get error such as: 

 

Error (10327): VHDL error at <file>.vhd(<line>): can't determine definition of operator ""sra"" -- found 0 possible definitions 

 

Error (10476): VHDL error at <file>.vhd(<line>): type of identifier "<signal>" does not agree with its usage as "std_logic_vector" type 

 

These functions are clearly defined in: 

$QUARTUS_INSTALL_DIR/libraries/vhdl/ieee/2008/std_1164_vhdl2008.vhd 

$QUARTUS_INSTALL_DIR/libraries/vhdl/ieee/2008/numeric_std_vhdl2008.vhd 

and not defined in: 

$QUARTUS_INSTALL_DIR/libraries/vhdl/ieee/1993/std_1164_vhdl1993.vhd 

$QUARTUS_INSTALL_DIR/libraries/vhdl/ieee/1993/numeric_std_vhdl1993.vhd 

 

Does anyone know of way to confirm that Quartus is using the outdated packages, and how to specify using the 2008 packages? 

 

I am using Quartus II Version 15.0.0
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
2,787 Views

I'm afraid quartus 2008 support is rather lacking pre q16. See here: 

 

http://quartushelp.altera.com/14.1/mergedprojects/hdl/vhdl/vhdl_list_2008_vhdl_support.htm 

 

Q16 prime pro is meant to have much improved 2008 support and finally provides the fixed point packages. You may have better luck with.
0 Kudos
Altera_Forum
Honored Contributor II
2,787 Views

I tried upgrading to Quartus 16.0, and the problem persists. Most of what I have found indicates that the new 2008 packages are not supported, though I find it strange that they are included in the Quartus install directory in that case.

0 Kudos
Altera_Forum
Honored Contributor II
2,787 Views

Which version did you try. Afaik, it's only the pro version with extended support.

0 Kudos
Altera_Forum
Honored Contributor II
2,787 Views

If you still have problems, you'll have to file an enhancement request with altera.

0 Kudos
JDe_S4
Beginner
2,787 Views
0 Kudos
RHobb
Beginner
2,787 Views

Why don't you just switch your compiler settings over to VHDL-93 or 2002?

Go over to assignments, settings, then just change the compiler, and recompile. If you have problems then, you may have corrupted the libraries. If you need support in the 2008, then you'll need to buy the Professional edition, as it also supports the new floating point routines that are missing in the 2002 (and 93) version. You can also use Altera- ModelSim to compile your libraries and code.

0 Kudos
Tricky
New Contributor II
2,787 Views
0 Kudos
Reply