Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16624 Discussions

Questa Compile error vcom-212 Stack hard limit

UmarM
Novice
1,125 Views

Hi

We have installed Intel Quartus 23.4 with all of dependencies and modules on RHEL 8.7. When we run Questa and try to compile a model we are getting an error message stating 

Error (suppressible): (vcom-212) Stack hard limit 67108864 < 67108864

Contact your system administrator to set the hard limit above this value

 

I have checked the current stack limit on our server is 64MB (65536KB).

We have faced the same issue on RHEL7 box with Questa version 22. 

Is there a minimum limit for hard stack for carrying out the compilation of models within Questa from version 22 and onwards? If yes can you please tell us that bottom limit and point me to that documentation as well that contains that information.

 

Thanks

Umar

Labels (1)
0 Kudos
8 Replies
SyafieqS
Moderator
1,032 Views

Im taking a look at this and will let you know any update.


0 Kudos
SyafieqS
Moderator
1,030 Views

You can try increasing the stack hard limit for the vcom compiler. You can do this by setting the VCOM_STACK_SIZE environment variable to a higher value. For example, you can set it to 134217728 (128 MB) by running the following command before starting Questa e.g.:


export VCOM_STACK_SIZE=134217728


After setting the environment variable, try compiling your model again.

Let me know.


0 Kudos
RichardTanSY_Intel
979 Views

Hi,

Do you able to resolve the issue? 


Regards,

Richard Tan


0 Kudos
UmarM
Novice
968 Views

Hi,

We have tried the above mentioned solution of setting environment variable and can confirm that unfortunately this does not work and we still have the same issue and are unable to run any modelling simulation in Questa.

 

Regards,

Umar

0 Kudos
SyafieqS
Moderator
927 Views

If you cant see itself, you probably need to contact you admin IT to set it for you.


0 Kudos
UmarM
Novice
883 Views

Hello Syafieq,

I am sorry, I did not understand your last message, I don't know from where you got this impression that we can't see it. What I replied in my last message was that we tried your solution of setting environment variable by using the command you sent which was;

 

running the following command before starting Questa e.g.:

 

export VCOM_STACK_SIZE=134217728

 

Once again, we ran this command, and ran the Questa and tried compiling a model, but still were getting the same error.

0 Kudos
SyafieqS
Moderator
845 Views

I am saying that if you are using compute machine with administrator, you probably need to check this with admin IT as the message is saying, I view this an IT issue rather than FPGA


Contact your system administrator to set the hard limit above this value


0 Kudos
SyafieqS
Moderator
797 Views

 As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to https://supporttickets.intel.com/, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 5/5 survey


0 Kudos
Reply