Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16625 Discussions

Questa ignores package declaration

steveataloriumtech
770 Views

When trying to compile my design with Questa Starter Edition from Quartus 21.1, I get the following Warning. The compile subsequently blows up because the package is required by the design. No reason is given for ignoring the package declaration and I have been unable to find any answers via google.

 

** Warning: (vlog-2176) FILENAME(24): Package declaration PKG ignored during scan of library file.

 

I've replaced the actual file name with FILENAME and the package name with PKG in the above version of the Warning to make it easier to read here.

 

The file contains only the package definition. The line number (24) is the first line of code after the header comments and is simply the start of the package declaration.

 

This design compiles without error in VCS.

 

I am using the -sv option.

 

 

 

 

0 Kudos
1 Solution
steveataloriumtech
736 Views

I discovered the cause of the problem using the verror command. To look up the meaning of the error codes that are spit out by vlog, you can use the following command:

 

verror -all

 

Looking up the 2176 error code seen in my error message, it says:

 

vlog Message # 2176:
A SystemVerilog source file within a library file specified with -v
contains a package declaration that will not be compiled. Packages are
ignored during library file scanning.

 

I have been using the -v option to specify the files that contain package definitions, but for some reason, Questa has decided to ignore  these. Why? Specifying the directory where they are located using the -y option prevents the above error.

 

View solution in original post

0 Kudos
4 Replies
Kenny_Tan
Moderator
750 Views

Hi,


Thanks for using Intel community forum,


Kindly expect some delay in the reply due to holiday.


Thanks,


0 Kudos
steveataloriumtech
737 Views

I discovered the cause of the problem using the verror command. To look up the meaning of the error codes that are spit out by vlog, you can use the following command:

 

verror -all

 

Looking up the 2176 error code seen in my error message, it says:

 

vlog Message # 2176:
A SystemVerilog source file within a library file specified with -v
contains a package declaration that will not be compiled. Packages are
ignored during library file scanning.

 

I have been using the -v option to specify the files that contain package definitions, but for some reason, Questa has decided to ignore  these. Why? Specifying the directory where they are located using the -y option prevents the above error.

 

0 Kudos
hareesh
Employee
698 Views

hi steveataloriumtech

 

Try to compile using “-sv” switch in vlog command. 

 

thanks,

0 Kudos
hareesh
Employee
675 Views

hi @steveataloriumtech ,

 

do you have any issue or shell I close the case? 

 

thanks,

0 Kudos
Reply