Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Removing existing set_false_path netlist assignments

FRoth
Beginner
1,388 Views

We have a set_clock_groups command, specifying false paths between clocks. Is it possible to remove a set of false paths from this set, fulfilling a given condition? That means, reverting a set_false_path, but only for specific nets in the netlist?

 

Looking into an older TimeQuest reference, there used to be a reset_path option for set_false_path, which would reset the given path and optionally remove any false path assignments. That is what would like to achieve, but this option produces an error in TimeQuest 18.1. Is there any replacement command to retroactively remove a set of already entered false paths?

0 Kudos
4 Replies
KhaiChein_Y_Intel
1,253 Views

Hi,

 

Could you share the error message and the version that the reset_path is working?

 

Thanks.

Best regards,

KhaiY

0 Kudos
FRoth
Beginner
1,253 Views

Hello,

 

There is a SDC parsing error in TimeQuest (Unknown option: -reset_path). Looking into the TQ documentation, the -reset_path option is not supported. The older version I was referring to was from quite a long time ago and I cannot seem to find the reference now. Is there any similar command, which could remove existing false path assignments from a set of nets in netlist?

 

Thank you

 

Filip

0 Kudos
KhaiChein_Y_Intel
1,253 Views

Hi,

 

Upon checking, there is no command to reset the path. you have to use set_false_path to remove the paths from timing analysis in this case.

 

Thansk.

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
1,253 Views

Hi,

 

Do you have any updates?

 

Thanks.

Best regards,

KhaiY

0 Kudos
Reply