Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16604 Discussions

SOPC-Builder does not create <Name>_sim.mpf file

Altera_Forum
Honored Contributor II
1,684 Views

Hi, 

 

I would like to simulate my Project with ModelSim. For that I generate my system with the option "Simulation. Create project simulator files" turned on in the SOPC-Builder. 

Some lines of the SOPC-Builder output (don't know if important): No .sopc_builder configuration file(!) Building ModelSim Project Das System kann den angegebenen Pfad nicht finden HDL Simulation Directory : C:/SVN_Repos_Prakt_FPGA/altera_projects/Project/Project_system_sim System generation was successfull.  

When I now klick on "Run Simulator" I get the error: 

Could not find the simulator file Project_system_sim.mpf in C:/SVN_Repos_Prakt_FPGA/altera_projects/Project/Project_system_sim 

Info: If you have not set the HDL Simulator Path (under Tools > Options), do so and regenerate. 

 

This path is set to: C:\Altera\91sp1\modelsim_ase\win32aloem and should be correct. 

 

When I try to start the simulation via NiosII-IDE (Run as --> ModelSim) then ModelSim opens and shows the error: 

# vsim -gui Project_system_sim.mpf # ** Error: (vsim-19) Failed to access library 'Project_system_sim' at "Project_system_sim".# No such file or directory. (errno = ENOENT)# Error loading design  

 

I think this is because the _sim.mpf file was not created by sopc-builder. Any idea why? 

 

I'm using Quartus II 9.1 SP1 and ModelSim 6.5b. I do not have a subscription license. 

 

Thanks!
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
854 Views

SOLVED. 

 

Seems to be a problem with the installation. 

 

Did a clean install of the Altera software on a different PC. There I have no problems, everthing is fine.
0 Kudos
Altera_Forum
Honored Contributor II
854 Views

I'm having exactly the same problem. I've tried re-installing both Quartus and Modelsim but it hasn't fixed it.  

 

SOPC Builder reports the following: 'vsim' is not recognized as an internal or external command, operable program or batch file when performing the generate.  

 

I'm using Quartus 10.0 SP1 and Modelsim Altera 6.5e and the path is set correctly to the modelsim simulator in SOPC.  

Does anyone know what could be causing this? 

 

Any help would be much appreciated.
0 Kudos
Altera_Forum
Honored Contributor II
854 Views

are you using Windows 7? ModelSim-Altera Edition is not supported yet, but i don't know if this would be a result of the incompatibility 

 

can you manually launch ModelSim?
0 Kudos
Altera_Forum
Honored Contributor II
854 Views

Thanks for your reply. 

 

I'm using Windows XP. 

 

"can you manually launch ModelSim?" - A vey good question and the answer is yes. 

 

I've now found the problem. It was that the PATH environment variable was not set correctly for ModelSim.
0 Kudos
Altera_Forum
Honored Contributor II
854 Views

Hi steven_carroll, 

Could you be more explicit ? 

 

 

--- Quote Start ---  

 

I've now found the problem. It was that the PATH environment variable was not set correctly for ModelSim. 

--- Quote End ---  

 

 

You mean the path in SOPC or the path environment variable in win 7 ? If so, how did you modify it ?
0 Kudos
Altera_Forum
Honored Contributor II
854 Views

Hi afaucon, 

 

I'm using Windows XP and it was this PATH environment variable I changed. 

 

The environment variables are found in the 'Advanced' tab of 'System Properties'.
0 Kudos
Reply