Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Structural Modeling in Quartus

Altera_Forum
Honored Contributor II
1,168 Views

I have tried structural modeling examples from Bhasker in Quartus . I cannot rectify the following error.  

 

 

entity HALF_ADDER is  

 

port(A,B: in BIT ; SUM ,CARRY:out BIT);  

 

end HALF_ADDER;  

 

 

architecture HA_STRUCTURE of HALF_ADDER is  

component XOR2  

port (X,Y:in BIT; Z:out BIT);  

end component ;  

 

component AND2  

port (X,Y:in BIT; Z:out BIT);  

end component;  

 

 

begin  

X1:XOR2 port map(A,B,SUM);  

A1:AND2 port map(A,B,CARRY);  

end HA_STRUCTURE;  

 

 

 

ERROR  

 

Error: Port "X" does not exist in primitive "AND2" of instance "A1"  

Error: Port "Y" does not exist in primitive "AND2" of instance "A1"  

Error: Port "Z" does not exist in primitive "AND2" of instance "A1"  

Error: Can't elaborate top-level user hierarchy  

Error: Quartus II Analysis & Synthesis was unsuccessful. 4 errors, 0 warnings  

Error: Peak virtual memory: 216 megabytes  

Error: Processing ended: Mon Dec 20 06:08:46 2010  

Error: Elapsed time: 00:00:01  

Error: Total CPU time (on all processors): 00:00:01  

Error: Quartus II Full Compilation was unsuccessful. 6 errors, 0 warnings 

 

 

 

 

Kindly also mention the right documentation to refer
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
479 Views

How did get the names and2, or2. These are reserved names and lead to errors.

0 Kudos
Reply