Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

TimeQuest

Altera_Forum
Honored Contributor II
1,863 Views

I have a PLL with its input clock from a port (physical pin) as shown as attached. This clock is specified as a base clock. Then "derive_pll_clocks" is added. The following constraint is also added. 

create_generated_clock -name {ext_dac_clk} -source inst12|altpll_component|pll|clk[2] -offset 0.500 [get_ports {ext_dac_clk}] 

 

However, the TimeQuest creates a message. 

 

From "inst12|altpll_component|pll|clk[2]" to "ext_dac_clk" from Clocks "dac_clk_in" is "Unconstrained Output Port Paths" 

 

I don't know why. Please help to explain. Many thanks.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
781 Views

It's annoying. TQ says that, as there is no set_output_delay constraints on it. My opinion is that there doesn't need to be. Usually you're constraining other outputs in relation to this clock, so if that meets timing all is fine. It would be like saying input port dac_clk_in is unconstrained because it doesn't have a set_input_delay constraint on it.  

I've been trying to get that changed, but no luck. 

There are some ways to get around it, but they're a bit ugly and I generally don't think worth it. I prefer accepting that TQ says this.
0 Kudos
Altera_Forum
Honored Contributor II
781 Views

Thank you very much indeed for sharing your experience with me.

0 Kudos
Altera_Forum
Honored Contributor II
781 Views

I agree with Rysc. However, if you don't want to see the unconstrained path, you can create a false_path constraint to the clock output port.

0 Kudos
Reply