Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

* UI-Msg (Warning): (vish-4014) No objects found matching '/System_Top/Sys_Hw_inst/*'.

BikshuNaik
Beginner
995 Views

Hi,

 

I am a beginner to this quartus tool. I am trying to simulate a design in questa Intel fpga tool. I have generated simulator setup script for IPs in Quartus prime pro 22.1 tool and modified "msim_setup.tcl" file to created "mentor_example.do" file. I have compiled and simulated the code with the command "do mentor_example.do" in questa tool.

I am getting only 6 waveforms(signals) in wave window and when i tried to add all objects of testbench, i couldn't do it.

and when I tried to add wave forms of next top module after testbench I am getting the following error.

* UI-Msg (Warning): (vish-4014) No objects found matching '/System_Top/Sys_Hw_inst/*'.

-System_Top is test bench.

-Sys_Hw_inst is top module instance.

 

When I tried to simulate from library folder(work) I am getting following error, 

 Error: ../mmcm_pll_ip/sim/mmcm_pll_ip.v(13): Module 'mmcm_pll_ip_altera_iopll_1931_djiefcq' is not defined.

I have been trying to understand my mistake what i am i doing wrong.

Please Help me.

Thank You.

0 Kudos
3 Replies
ShengN_Intel
Employee
959 Views

Hi,


The error is due to there are no item in region

Go to Questa top bar and select Add -> To Wave -> All items in design

Or right-click signals and select Add to -> Wave -> All items in design

Or use add wave -r /* in do file


Best Regards,

Sheng


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.


0 Kudos
ShengN_Intel
Employee
935 Views

Hi,


May I know any further update or consideration?


Thanks,

Best Regards,

Sheng


0 Kudos
ShengN_Intel
Employee
917 Views

Since there are no further feedbacks for this thread, I shall set this thread to close pending. If you still need further assistance, you are welcome reopen this thread within 20days or open a new thread, some one will be right with you.


0 Kudos
Reply