Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Using Modelsim-Altera as the simulation tool

Altera_Forum
Honored Contributor II
1,455 Views

I tried to use Modelsim-Altera 6.3g_p1 as the simulation tool for Quartus|| 8.1 web edition. I followed the instructions as available from Altera website. The problem I'm facing is that it works fine for RTL functional as well as post-synthesis simulation but doesn't work for gate-level simulation. On the other hand, it is doing fine if I use the waveform editor of Quartus as the input file for simulation. 

It would be nice if anyone of you can throw light on this matter.
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
650 Views

Does modelsim have any problem with simulating 'integer' signals?? 

This is because I'm finding that the simulation gives error with output signal as 'integer' but works fine if the same signal is changed to 'std_logic_vector'.
0 Kudos
Altera_Forum
Honored Contributor II
650 Views

Maybe you are mixing std.numeric and std.logic.signed libraries. That typically confuses modelsim.

0 Kudos
Altera_Forum
Honored Contributor II
650 Views

Which library are you suggesting to use??

0 Kudos
Altera_Forum
Honored Contributor II
650 Views

std.numeric is the defacto standard

0 Kudos
Altera_Forum
Honored Contributor II
650 Views

I'm trying to use file i/o in Modelsim. I've started with trying to write the output values to a file. While compilation, it doesn't give error, but when I start simulation, it shows "# ** Fatal: SDF files require Altera primitive library". 

What does this mean???
0 Kudos
Altera_Forum
Honored Contributor II
650 Views

How are you trying to write the files? using std.textio?

0 Kudos
Altera_Forum
Honored Contributor II
650 Views

 

--- Quote Start ---  

std.numeric is the defacto standard 

--- Quote End ---  

 

 

Unfortunatly std_logic_signed/unsigned/arith have become the defacto standard thanks to all the old text books and experienced engineers unwilling to change.  

 

Numeric_std is the IEEE standard that people should use.
0 Kudos
Altera_Forum
Honored Contributor II
650 Views

Can't modelsim simulate 'event' attribute to dynamic signals?? I'm able to do it in Quartus but modelsim is giving error as: Attribute "event" requires a static signal prefix

0 Kudos
Altera_Forum
Honored Contributor II
650 Views

you'll need to post some code to see how you are trying to use it.

0 Kudos
Reply